classes ::: subject,
children :::
branches ::: Architecture

bookmarks: Instances - Definitions - Quotes - Chapters - Wordnet - Webgen


object:Architecture
class:subject


the City
the Temple
the School
the Library
the Tower
the Gardens

the Temple of Light
  the Priestess of Light

the Inner Temple
  the Mother

7 Hostel

see also ::: places, Construction

see also ::: Construction, places

questions, comments, suggestions/feedback, take-down requests, contribute, etc
contact me @ integralyogin@gmail.com or
join the integral discord server (chatrooms)
if the page you visited was empty, it may be noted and I will try to fill it out. cheers



now begins generated list of local instances, definitions, quotes, instances in chapters, wordnet info if available and instances among weblinks


OBJECT INSTANCES [0] - TOPICS - AUTHORS - BOOKS - CHAPTERS - CLASSES - SEE ALSO - SIMILAR TITLES

TOPICS
SEE ALSO

Construction
places

AUTH

BOOKS
Infinite_Library
Process_and_Reality
The_Book_of_Gates
The_Ever-Present_Origin
The_Republic
The_Wit_and_Wisdom_of_Alfred_North_Whitehead
The_World_as_Will_and_Idea

IN CHAPTERS TITLE
1.ww_-_Rural_Architecture

IN CHAPTERS CLASSNAME

IN CHAPTERS TEXT
000_-_Humans_in_Universe
01.03_-_The_Yoga_of_the_King_-_The_Yoga_of_the_Souls_Release
0_1962-10-27
0_1965-06-23
0_1967-02-18
02.03_-_The_Glory_and_the_Fall_of_Life
02.08_-_The_World_of_Falsehood,_the_Mother_of_Evil_and_the_Sons_of_Darkness
02.10_-_The_Kingdoms_and_Godheads_of_the_Little_Mind
03.02_-_The_Philosopher_as_an_Artist_and_Philosophy_as_an_Art
03.09_-_Buddhism_and_Hinduism
04.02_-_The_Growth_of_the_Flame
06.02_-_The_Way_of_Fate_and_the_Problem_of_Pain
07.42_-_The_Nature_and_Destiny_of_Art
10.04_-_The_Dream_Twilight_of_the_Earthly_Real
1.01_-_Adam_Kadmon_and_the_Evolution
1.01_-_Economy
1.01_-_The_Cycle_of_Society
1.01_-_The_Ideal_of_the_Karmayogin
1.02_-_The_Three_European_Worlds
1.03_-_THE_EARTH_IN_ITS_EARLY_STAGES
1.04_-_The_Divine_Mother_-_This_Is_She
1.05_-_Adam_Kadmon
1.05_-_Ritam
1.05_-_THE_HOSTILE_BROTHERS_-_ARCHETYPES_OF_RESPONSE_TO_THE_UNKNOWN
1.09_-_Legend_of_Lakshmi
1.09_-_SKIRMISHES_IN_A_WAY_WITH_THE_AGE
1.10_-_Aesthetic_and_Ethical_Culture
1.10_-_Harmony
1.13_-_And_Then?
1.14_-_The_Structure_and_Dynamics_of_the_Self
1.14_-_The_Suprarational_Beauty
1.24_-_RITUAL,_SYMBOL,_SACRAMENT
1929-07-28_-_Art_and_Yoga_-_Art_and_life_-_Music,_dance_-_World_of_Harmony
1953-10-28
1f.lovecraft_-_At_the_Mountains_of_Madness
1f.lovecraft_-_The_Call_of_Cthulhu
1f.lovecraft_-_The_Case_of_Charles_Dexter_Ward
1f.lovecraft_-_The_Crawling_Chaos
1f.lovecraft_-_The_Dream-Quest_of_Unknown_Kadath
1f.lovecraft_-_The_Dunwich_Horror
1f.lovecraft_-_The_Ghost-Eater
1f.lovecraft_-_The_Mound
1f.lovecraft_-_The_Rats_in_the_Walls
1f.lovecraft_-_The_Shadow_out_of_Time
1f.lovecraft_-_The_Shadow_over_Innsmouth
1f.lovecraft_-_The_Temple
1f.lovecraft_-_The_Thing_on_the_Doorstep
1.jk_-_The_Eve_Of_St._Agnes
1.poe_-_Eureka_-_A_Prose_Poem
1.rwe_-_Seashore
1.rwe_-_The_Snowstorm
1.whitman_-_Carol_Of_Occupations
1.whitman_-_Once_I_Passd_Through_A_Populous_City
1.whitman_-_Song_of_Myself
1.whitman_-_Song_Of_Myself-_XXXIII
1.ww_-_Rural_Architecture
2.01_-_Indeterminates,_Cosmic_Determinations_and_the_Indeterminable
2.01_-_On_Books
2.03_-_DEMETER
2.04_-_On_Art
2.07_-_On_Congress_and_Politics
2.0_-_THE_ANTICHRIST
2.18_-_January_1939
2.19_-_Feb-May_1939
2.2.03_-_The_Divine_Force_in_Work
2.24_-_The_Evolution_of_the_Spiritual_Man
30.04_-_Intuition_and_Inspiration_in_Art
30.13_-_Rabindranath_the_Artist
3.02_-_THE_DEPLOYMENT_OF_THE_NOOSPHERE
31.01_-_The_Heart_of_Bengal
4.1.01_-_The_Intellect_and_Yoga
7_-_Yoga_of_Sri_Aurobindo
Avatars_of_the_Tortoise
BOOK_II._--_PART_I._ANTHROPOGENESIS.
BOOK_II._--_PART_III._ADDENDA._SCIENCE_AND_THE_SECRET_DOCTRINE_CONTRASTED
BOOK_II._--_PART_II._THE_ARCHAIC_SYMBOLISM_OF_THE_WORLD-RELIGIONS
BOOK_I._--_PART_I._COSMIC_EVOLUTION
BS_1_-_Introduction_to_the_Idea_of_God
ENNEAD_04.04_-_Questions_About_the_Soul.
ENNEAD_05.09_-_Of_Intelligence,_Ideas_and_Essence.
Liber_46_-_The_Key_of_the_Mysteries
Maps_of_Meaning_text
Talks_With_Sri_Aurobindo_1
The_Act_of_Creation_text
The_Dwellings_of_the_Philosophers
The_Immortal
The_One_Who_Walks_Away
The_Riddle_of_this_World
The_Shadow_Out_Of_Time

PRIMARY CLASS

subject
SIMILAR TITLES
Architecture

DEFINITIONS


TERMS STARTING WITH

architecture ::: 1. The profession of designing buildings and other artificial constructions and environments, usually with some regard to aesthetic effect. 2. The character or style of building. 3. Construction or structure generally. architectures.

architecture "architecture" Design, the way components fit together. The term is used particularly of {processors}, both individual and in general. "The {ARM} has a really clean architecture". It may also be used of any complex system, e.g. "software architecture", "network architecture". (1995-05-02)

architecture ::: (architecture) Design, the way components fit together. The term is used particularly of processors, both individual and in general. The ARM has a really clean architecture. It may also be used of any complex system, e.g. software architecture, network architecture. (1995-05-02)

architecture ::: n. --> The art or science of building; especially, the art of building houses, churches, bridges, and other structures, for the purposes of civil life; -- often called civil architecture.
Construction, in a more general sense; frame or structure; workmanship.


Architecture [from Latin architectura from Greek architekton master-builder] Signifies not building in itself, but the science or art of building in accordance with certain principles or rules which endure through the ages, because rooted in cosmic order and beauty. Architecture is reckoned as one of the five great arts, and the monuments of antiquity in whatever land show clearly that those who designed them had, besides a knowledge of materials and the technique of using them, some knowledge at least of the great cosmic laws of harmony and beauty, and their derivative, proportion.

Architecture Neutral Distribution Format "programming, operating system" (ANDF) An emerging {OSF} {standard} for software distribution. Programs are compiled into ANDF before distribution and {executables} are produced from it for the local target system. This allows software to be developed and distributed in a single version then installed on a variety of hardware. See also {UNCOL}. ["Architecture Neutral Distribution Format: A White Paper", Open Software Foundation, Nov 1990]. (1995-10-20)

Architecture Neutral Distribution Format ::: (programming, operating system) (ANDF) An emerging OSF standard for software distribution. Programs are compiled into ANDF before distribution and software to be developed and distributed in a single version then installed on a variety of hardware.See also UNCOL.[Architecture Neutral Distribution Format: A White Paper, Open Software Foundation, Nov 1990]. (1995-10-20)


TERMS ANYWHERE

100BaseVG "networking" A 100 {MBps} {Ethernet} standard specified to run over four pairs of {category 3} {UTP} wires (known as voice grade, hence the "VG"). It is also called 100VG-AnyLAN because it was defined to carry both {Ethernet} and {token ring} {frame} types. 100BaseVG was originally proposed by {Hewlett-Packard}, ratified by the {ISO} in 1995 and practically extinct by 1998. 100BaseVG started in the IEEE 802.3u committee as {Fast Ethernet}. One faction wanted to keep {CSMA/CD} in order to keep it pure Ethernet, even though the {collision domain} problem limited the distances to one tenth that of {10baseT}. Another faction wanted to change to a polling architecture from the hub (they called it "demand priority") in order to maintain the 10baseT distances, and also to make it a {deterministic} {protocol}. The CSMA/CD crowd said, "This is 802.3 -- the Ethernet committee. If you guys want to make a different protocol, form your own committee". The IEEE 802.12 committee was thus formed and standardised 100BaseVG. The rest is history. (1998-06-30)

16 bit "architecture, programming" Using {words} containing sixteen {bits}. This adjective often refers to the number of bits used internally by a computer's {CPU}. E.g. "The {Intel 8086} is a sixteen bit processor". Its external {data bus} or {address bus} may be narrower. The term may also refer to the size of an instruction in the computer's {instruction set} or to any other item of data. See also {16-bit application}. (1996-05-13)

32-bit application "architecture, operating system" {IBM PC} software that runs in a 32-bit {flat address space}. The term {32-bit application} came about because {MS-DOS} and {Microsoft Windows} were originally written for the {Intel 8088} and {80286} {microprocessors}. These are {16 bit} microprocessors with a {segmented address space}. Programs with more than 64 kilobytes of code and/or data therefore had to switch between {segments} quite frequently. As this operation is quite time consuming in comparison to other machine operations, the application's performance may suffer. Furthermore, programming with segments is more involved than programming in a flat address space, giving rise to some complications in programming languages like "{memory models}" in {C} and {C++}. The shift from 16-bit software to 32-bit software on {IBM PC} {clones} became possible with the introduction of the {Intel 80386} microprocessor. This microprocessor and its successors support a segmented address space with 16-bit and 32 bit segments (more precisely: segments with 16- or 32-bit address offset) or a linear 32-bit address space. For compatibility reasons, however, much of the software is nevertheless written in 16-bit models. {Operating systems} like {Microsoft Windows} or {OS/2} provide the possibility to run 16-bit (segmented) programs as well as 32-bit programs. The former possibility exists for {backward compatibility} and the latter is usually meant to be used for new software development. See also {Win32s}. (1995-12-11)

386BSD "operating system" (Or "jolix /joh'liks/) A {free software} {port} originally derived from the generally available parts of the "{Berkeley Net Release/2}" to the {Intel} {i386} architecture by William Jolitz and friends. The name Jolix is used to differentiate it from {BSDI}'s port based on the same source tape, which is called {BSD/386}. Many new and innovative features were added to 386BSD following its original release in June 1992. An unofficial {patchkit}, available from many {anonymous FTP} archives, solves many of the problems associated with 386BSD Version 0.1. In addition, many common Unix packages have been ported. 386BSD has been superseded by {FreeBSD}, {NetBSD} and {OpenBSD}. {FAQ (http://cis.ohio-state.edu/hypertext/faq/usenet/386bsd-faq/part1/faq.html)}. [{Jargon File}] (2006-06-08)

3DNow! "architecture" A {floating point} {SIMD} extention from {AMD}. [Extension of what? To do what?] (2001-12-23)

3DNow! Professional "architecture" A {floating point} {SIMD} extention from {AMD}, compatible with {Intel}'s {SSE}, introduced with the {Athlon}-4. [Relationship to {3DNow!}?] (2001-12-23)

64-bit "architecture" A term describing a computer architecture with an {ALU}, {registers} and {data bus} which handle 64 {bit}s at a time. 64-bit processors were quite common by 1996, e.g. {Digital} {Alpha}, versions of {Sun} {SPARC}, {MIPS}, {IBM} {AS/4000}. The {PowerPC} and {Intel} were expected to move to 64 bits at their next generation - {PPC 620} and {Intel P7}. Being able to deal with 64-bit binary numbers means the processor can work with {signed integers} between +-2^32 or unsigned integers between zero and 2^64-1. A 64-bit {address bus} allows the processor to address 18 million {gigabytes} as opposed to the mere four gigabytes allowed with 32 bits. In 1996 {hard disks} could already hold over 4 GB. Floating point calculations can also be more accurate. A 64-bit {OS} is needed as well to take advantage of the CPU. In 1996 there were only a few 64-bit operating systems, including {OS/400}, {Digital} {Unix}, {Solaris} (partialy). A 32-bit OS can run on a 64-bit CPU. (2004-05-12)

AADL {Axiomatic Architecture Description Language}

ABCL/R2 "language" An {object-oriented}, {concurrent}, {reflective} language based on {Hybrid Group Architecture}. ABCL/R2 was produced by "masuhara@is.s.u-tokyo.ac.jp", "matsu@is.s.u-tokyo.ac.jp", "takuo@is.s.u-tokyo.ac.jp", "yonezawa@is.s.u-tokyo.ac.jp", at the {Tokyo Institute of Technology} in 1992. As a reflective language, an ABCL/R2 program can dynamically control its own behaviour, such as {scheduling} policy, from within a user-program. This system has almost all functions of {ABCL/1} and is written in {Common Lisp}. {(ftp://camille.is.s.u-tokyo.ac.jp/pub/abclr2/)}. (1993-01-28)

Abstract Syntax Notation 1 "language, standard, protocol" (ASN.1, X.208, X.680) An {ISO}/{ITU-T} {standard} for transmitting structured {data} on {networks}, originally defined in 1984 as part of {CCITT X.409} '84. ASN.1 moved to its own standard, X.208, in 1988 due to wide applicability. The substantially revised 1995 version is covered by the X.680 series. ASN.1 defines the {abstract syntax} of {information} but does not restrict the way the information is encoded. Various ASN.1 encoding rules provide the {transfer syntax} (a {concrete} representation) of the data values whose {abstract syntax} is described in ASN.1. The standard ASN.1 encoding rules include {BER} (Basic Encoding Rules - X.209), {CER} (Canonical Encoding Rules), {DER} (Distinguished Encoding Rules) and {PER} (Packed Encoding Rules). ASN.1 together with specific ASN.1 encoding rules facilitates the exchange of structured data especially between {application programs} over networks by describing data structures in a way that is independent of machine architecture and implementation language. {OSI} {Application layer} {protocols} such as {X.400} {MHS} {electronic mail}, {X.500} directory services and {SNMP} use ASN.1 to describe the {PDU}s they exchange. Documents describing the ASN.1 notations: {ITU-T} Rec. X.680, {ISO} 8824-1; {ITU-T} Rec. X.681, {ISO} 8824-2; {ITU-T} Rec. X.682, {ISO} 8824-3; {ITU-T} Rec. X.683, {ISO} 8824-4 Documents describing the ASN.1 encoding rules: {ITU-T} Rec. X.690, {ISO} 8825-1; {ITU-T} Rec. X.691, {ISO} 8825-2. [M. Sample et al, "Implementing Efficient Encoders and Decoders for Network Data Representations", IEEE Infocom 93 Proc, v.3, pp. 1143-1153, Mar 1993. Available from Logica, UK]. See also {snacc}. (2005-07-03)

ACA {Application Control Architecture}

accumulator "processor" In a {central processing unit}, a {register} in which intermediate results are stored. Without an accumulator, it would be necessary to write the result of each calculation (addition, multiplication, {shift}, etc.) to {main memory} and read them back. Access to main memory is slower than access to the accumulator which usually has direct paths to and from the {arithmetic and logic unit} (ALU). The {canonical} example is summing a list of numbers. The accumulator is set to zero initially, each number in turn is added to the value in the accumulator and only when all numbers have been added is the result written to main memory. Modern CPUs usually have many registers, all or many of which can be used as accumulators. For this reason, the term "accumulator" is somewhat archaic. Use of it as a synonym for "register" is a fairly reliable indication that the user has been around for quite a while and/or that the architecture under discussion is quite old. The term in full is almost never used of microprocessor registers, for example, though symbolic names for arithmetic registers beginning in "A" derive from historical use of the term "accumulator" (and not, actually, from "arithmetic"). Confusingly, though, an "A" register name prefix may also stand for "address", as for example on the {Motorola} {680x0} family. 2. "programming" A register, memory location or variable being used for arithmetic or logic (as opposed to addressing or a loop index), especially one being used to accumulate a sum or count of many items. This use is in context of a particular routine or stretch of code. "The FOOBAZ routine uses A3 as an accumulator." [{Jargon File}] (1999-04-20)

acroterium ::: n. --> One of the small pedestals, for statues or other ornaments, placed on the apex and at the basal angles of a pediment. Acroteria are also sometimes placed upon the gables in Gothic architecture.
One of the pedestals, for vases or statues, forming a part roof balustrade.


active record pattern "programming" {Martin Fowler}'s name for {object relational mapping} viewed as a {software architecture} {pattern}. (2014-12-03)

Adaline "architecture" Name given by Widrow to {adaptive linear neurons}, that is {neurons} (see {McCulloch-Pitts}) which learn using the {Widrow-Huff Delta Rule}. See also {Madaline}. (1995-03-14)

addressing mode 1. "processor, programming" One of a set of methods for specifying the {operand}(s) for a {machine code} {instruction}. Different processors vary greatly in the number of addressing modes they provide. The more complex modes described below can usually be replaced with a short sequence of instructions using only simpler modes. The most common modes are "register" - the operand is stored in a specified {register}; "absolute" - the operand is stored at a specified memory address; and "{immediate}" - the operand is contained within the instruction. Most processors also have {indirect addressing} modes, e.g. "register indirect", "memory indirect" where the specified register or memory location does not contain the operand but contains its address, known as the "{effective address}". For an absolute addressing mode, the effective address is contained within the instruction. Indirect addressing modes often have options for pre- or post- increment or decrement, meaning that the register or memory location containing the {effective address} is incremented or decremented by some amount (either fixed or also specified in the instruction), either before or after the instruction is executed. These are very useful for {stacks} and for accessing blocks of data. Other variations form the effective address by adding together one or more registers and one or more constants which may themselves be direct or indirect. Such complex addressing modes are designed to support access to multidimensional arrays and arrays of data structures. The addressing mode may be "implicit" - the location of the operand is obvious from the particular instruction. This would be the case for an instruction that modified a particular control register in the CPU or, in a {stack} based processor where operands are always on the top of the stack. 2. In {IBM} {System 370}/{XA} the addressing mode bit controls the size of the {effective address} generated. When this bit is zero, the CPU is in the 24-bit addressing mode, and 24 bit instruction and operand effective addresses are generated. When this bit is one, the CPU is in the 31-bit addressing mode, and 31-bit instruction and operand effective addresses are generated. ["IBM System/370 Extended Architecture Principles of Operation", Chapter 5., 'Address Generation', BiModal Addressing]. (1995-03-30)

address space "operating system, architecture" The range of addresses which a processor or process can access, or at which a {device} can be accessed. The term may refer to either {physical address} or {virtual address}. The size of a processor's address space depends on the width of the processor's {address bus} and address {registers}. Each device, such as a memory {integrated circuit}, will have its own local address space which starts at zero. This will be mapped to a range of addresses which starts at some base address in the processor's address space. Similarly, each {process} will have its own address space, which may be all or a part of the processor's address space. In a {multitasking} system this may depend on where in memory the process happens to have been loaded. For a process to be able to run at any address it must consist of {position-independent code}. Alternatively, each process may see the same local address space, with the {memory management unit} mapping this to the process's own part of the processor's address space. (1999-11-01)

Advanced Computing Environment "body" (ACE) A consortium to agree on an {open} architecture based on the {MIPS R4000} chip. A computer architecture ARCS will be defined, on which either {OS/2} or {Open Desktop} can be run. (1995-02-03)

Advanced Function Presentation "printer, language" (AFP) A {page description language} from {IBM} introduced in 1984 initially as Advanced Function Printing. AFP was first developed for {mainframes} and then brought to {minicomputers} and {workstations}. It is implemented on the various {platforms} by {Print Services Facility} (PSF) software, which generates the {native} IBM printer language, {IPDS} and, depending on the version, {PostScript} and LaserJet {PCL} as well. IBM calls AFP a "printer architecture" rather than a page description language.

Advanced Network Systems Architecture "networking" (ANSA) A "{software bus}" based on a model for distributed systems developed as an {ESPRIT} project. {(http://ansa.co.uk/)}. (1996-04-01)

aegicrania ::: n. pl. --> Sculptured ornaments, used in classical architecture, representing rams&

AIA {Application Integration Architecture}

alhambresque ::: a. --> Made or decorated after the fanciful style of the ornamentation in the Alhambra, which affords an unusually fine exhibition of Saracenic or Arabesque architecture.

Amulet "processor" An implementation or the {Advanced RISC Machine} {microprocessor} architecture using the {micropipeline} design style. In April 1994 the Amulet group in the Computer Science department of {Manchester University} took delivery of the AMULET1 {microprocessor}. This was their first large scale asynchronous circuit and the world's first implementation of a commercial microprocessor architecture (ARM) in {asynchronous logic}. Work was begun at the end of 1990 and the design despatched for fabrication in February 1993. The primary intent was to demonstrate that an asynchronous microprocessor can consume less power than a synchronous design. The design incorporates a number of concurrent units which cooperate to give instruction level compatibility with the existing synchronous part. These include an Address unit, which autonomously generates instruction fetch requests and interleaves ({nondeterministic}ally) data requests from the Execution unit; a {Register} file which supplies operands, queues write destinations and handles data dependencies; an Execution unit which includes a multiplier, a shifter and an {ALU} with data-dependent delay; a Data interface which performs byte extraction and alignment and includes an {instruction prefetch} buffer, and a control path which performs {instruction decode}. These units only synchronise to exchange data. The design demonstrates that all the usual problems of processor design can be solved in this asynchronous framework: backward {instruction set} compatibility, {interrupts} and exact {exceptions} for {memory faults} are all covered. It also demonstrates some unusual behaviour, for instance {nondeterministic} prefetch depth beyond a branch instruction (though the instructions which actually get executed are, of course, deterministic). There are some unusual problems for {compiler} {optimisation}, as the metric which must be used to compare alternative code sequences is continuous rather than discrete, and the {nondeterminism} in external behaviour must also be taken into account. The chip was designed using a mixture of custom {datapath} and compiled control logic elements, as was the synchronous ARM. The fabrication technology is the same as that used for one version of the synchronous part, reducing the number of variables when comparing the two parts. Two silicon implementations have been received and preliminary measurements have been taken from these. The first is a 0.7um process and has achieved about 28 kDhrystones running the standard {benchmark} program. The other is a 1 um implementation and achieves about 20 kDhrystones. For the faster of the parts this is equivalent to a synchronous {ARM6} clocked at around 20MHz; in the case of AMULET1 it is likely that this speed is limited by the memory system cycle time (just over 50ns) rather than the processor chip itself. A fair comparison of devices at the same geometries gives the AMULET1 performance as about 70% of that of an {ARM6} running at 20MHz. Its power consumption is very similar to that of the ARM6; the AMULET1 therefore delivers about 80 MIPS/W (compared with around 120 from a 20MHz ARM6). Multiplication is several times faster on the AMULET1 owing to the inclusion of a specialised asynchronous multiplier. This performance is reasonable considering that the AMULET1 is a first generation part, whereas the synchronous ARM has undergone several design iterations. AMULET2 (under development in 1994) was expected to be three times faster than AMULET1 and use less power. The {macrocell} size (without {pad ring}) is 5.5 mm by 4.5 mm on a 1 micron {CMOS} process, which is about twice the area of the synchronous part. Some of the increase can be attributed to the more sophisticated organisation of the new part: it has a deeper {pipeline} than the clocked version and it supports multiple outstanding memory requests; there is also specialised circuitry to increase the multiplication speed. Although there is undoubtedly some overhead attributable to the asynchronous control logic, this is estimated to be closer to 20% than to the 100% suggested by the direct comparison. AMULET1 is code compatible with {ARM6} and is so is capable of running existing {binaries} without modification. The implementation also includes features such as interrupts and memory aborts. The work was part of a broad {ESPRIT} funded investigation into low-power technologies within the European {Open Microprocessor systems Initiative} (OMI) programme, where there is interest in low-power techniques both for portable equipment and (in the longer term) to alleviate the problems of the increasingly high dissipation of high-performance chips. This initial investigation into the role {asynchronous logic} might play has now demonstrated that asynchronous techniques can be applied to problems of the scale of a complete {microprocessor}. {(http://cs.man.ac.uk/amulet)}. (1994-12-08)

ANDF {Architecture Neutral Distribution Format}

Andrew Tanenbaum "person" Professor Andrew S. Tanenbaum (1941-) of the {Vrije Universiteit, Amsterdam} in The Netherlands. Tanenbaum is famous for his work and books on computer architecture, {operating systems} and {networks}. He wrote the textbook "Computer Networks", Second Edition, Prentice-Hall, 1981, which describes the {International Standards Organisation}, {Open Systems Interconnection} (ISO-OSI) network model. See {Amoeba}, {Mac-1}, {Mic-1}, {Mic-2}, {Micro Assembly Language}, {MINIX}, {MicroProgramming Language}, {standard}. [Home page?] (1996-04-23)

ANSA {Advanced Network Systems Architecture}

ANSI/SPARC Architecture "architecture" (Or "ANSI/SPARC model") {ANSI/SPARC}'s layered model of {database} architecture comprising a {physical schema}, a {conceptual schema} and user {views}. [Reference?] (1998-12-17)

ANSI/SPARC model {ANSI/SPARC Architecture}

APA {Application Portability Architecture}

Application Control Architecture "programming" (ACA) {DEC}'s implementation of {ORB}. (1994-11-08)

Application Integration Architecture "standard" (AIA) {DEC}'s "open standards" specifications.

application lifecycle management "programming" (ALM) A combination of {software engineering}, {requirements management}, {architecture}, {coding}, {testing}, {tracking} and {release management}. (2009-06-10)

Application Portability Architecture "programming" (APA) {DEC}'s plan for portable applications software. (1994-11-28)

architect ::: n. --> A person skilled in the art of building; one who understands architecture, or makes it his occupation to form plans and designs of buildings, and to superintend the artificers employed.
A contriver, designer, or maker.


architectonic ::: a. --> Alt. of Architectonical ::: n. --> The science of architecture.
The act of arranging knowledge into a system.


architectonical ::: a. --> Pertaining to a master builder, or to architecture; evincing skill in designing or construction; constructive.
Relating to the systemizing of knowledge.


architectonics ::: n. --> The science of architecture.

architectural ::: a. --> Of or pertaining to the art of building; conformed to the rules of architecture.

architecture ::: 1. The profession of designing buildings and other artificial constructions and environments, usually with some regard to aesthetic effect. 2. The character or style of building. 3. Construction or structure generally. architectures.

architecture "architecture" Design, the way components fit together. The term is used particularly of {processors}, both individual and in general. "The {ARM} has a really clean architecture". It may also be used of any complex system, e.g. "software architecture", "network architecture". (1995-05-02)

architecture ::: n. --> The art or science of building; especially, the art of building houses, churches, bridges, and other structures, for the purposes of civil life; -- often called civil architecture.
Construction, in a more general sense; frame or structure; workmanship.


architrave ::: n. --> The lower division of an entablature, or that part which rests immediately on the column, esp. in classical architecture. See Column.
The group of moldings, or other architectural member, above and on both sides of a door or other opening, especially if square in form.


ARM7 "processor" A {RISC} {microprocessor} architecture from {Advanced RISC Machines} Ltd. (ARM). Building upon the {ARM6} family, the goal of the ARM7 design was to offer higher levels of raw compute performance at even lower levels of power consumption. The ARM7 architecture is now (Dec 1994) the most powerful low voltage {RISC} processor available on the market. The ARM7 offers several architectural extensions which address specific market needs, encompassing fast multiply and innovative embedded {ICE} support. Software development tools are available. The ARM7 architecture is made up of a core CPU plus a range of system peripherals which can be added to a CPU core to give a complete system on a chip, e.g. 4K or 8K {cache}, {Memory Management Unit}, {Write Buffer}, {coprocessor} interface, {ICEbreaker} embedded {ICE} support and {JTAG} {boundary scan}. The {ARM710} {microprocessor} is built around the ARM7 core. {(http://systemv.com/armltd/arm7.html)}. (1995-01-05)

ARM800 "processor" A {microprocessor} based on the {ARM8} processor core designed by {Advanced RISC Machines} Ltd. Planned features include a 60-100Mhz {clock rate}; 0.35-0.4 micron silicon fabrication; an improvement on the {ARM7}'s 1.4 cycle/instruction; a 16 Kbyte {cache}. Some estimates were 100 MIPS and 120 Kdhrystones at 70Mhz (twice the {ARM700}). Samples of the ARM800 are expected to be available in late 1995. It may run on a voltage below 3.3V. {Digital Semiconductor}'s Hudson fab is 0.35 micron and they have announced a licensing deal for the ARM architecture (see {StrongARM}). (1995-02-07)

array 1. "programming" A collection of identically typed data items distinguished by their indices (or "subscripts"). The number of dimensions an array can have depends on the language but is usually unlimited. An array is a kind of {aggregate} data type. A single ordinary variable (a "{scalar}") could be considered as a zero-dimensional array. A one-dimensional array is also known as a "{vector}". A reference to an array element is written something like A[i,j,k] where A is the array name and i, j and k are the indices. The {C} language is peculiar in that each index is written in separate brackets, e.g. A[i][j][k]. This expresses the fact that, in C, an N-dimensional array is actually a vector, each of whose elements is an N-1 dimensional array. Elements of an array are usually stored contiguously. Languages differ as to whether the leftmost or rightmost index varies most rapidly, i.e. whether each row is stored contiguously or each column (for a 2D array). Arrays are appropriate for storing data which must be accessed in an unpredictable order, in contrast to {lists} which are best when accessed sequentially. Array indices are {integers}, usually {natural numbers}, whereas the elements of an {associative array} are identified by strings. 2. "architecture" A {processor array}, not to be confused with an {array processor}. (2007-10-12)

A

Astral "language" A programming language based on {Pascal}, never implemented. ["ASTRAL: A Structured and Unified Approach to Database Design and Manipulation", T. Amble et al, in Proc of the Database Architecture Conf, Venice, June 1979]. (2000-01-27)

asynchronous "architecture" Not synchronised by a shared signal such as {clock} or {semaphore}, proceeding independently. Opposite: {synchronous}. 1. "operating system" A {process} in a {multitasking} system whose execution can proceed independently, "in the {background}". Other processes may be started before the asynchronous process has finished. 2. "communications" A communications system in which data transmission may start at any time and is indicated by a {start bit}, e.g. {EIA-232}. A data {byte} (or other element defined by the {protocol}) ends with a {stop bit}. A continuous marking condition (identical to stop bits but not quantized in time), is then maintained until data resumes. (1995-12-08)

asynchronous logic "architecture" A {data-driven} circuit design technique where, instead of the components sharing a common {clock} and exchanging data on clock edges, data is passed on as soon as it is available. This removes the need to distribute a common clock signal throughout the circuit with acceptable {clock skew}. It also helps to reduce power dissipation in {CMOS} circuits because {gates} only switch when they are doing useful work rather than on every clock edge. There are many kinds of asynchronous logic. Data signals may use either "dual rail encoding" or "data bundling". Each dual rail encoded {Boolean} is implemented as two wires. This allows the value and the timing information to be communicated for each data bit. Bundled data has one wire for each data bit and another for timing. Level sensitive circuits typically represent a logic one by a high voltage and a logic zero by a low voltage whereas transition signalling uses a change in the signal level to convey information. A speed independent design is tolerant to variations in gate speeds but not to propagation delays in wires; a delay insensitive circuit is tolerant to variations in wire delays as well. The purest form of circuit is delay-insensitive and uses dual-rail encoding with transition signalling. A transition on one wire indicates the arrival of a zero, a transition on the other the arrival of a one. The levels on the wires are of no significance. Such an approach enables the design of fully delay-insensitive circuits and automatic layout as the delays introduced by the layout compiler can't affect the functionality (only the performance). Level sensitive designs can use simpler, stateless logic gates but require a "return to zero" phase in each transition. {(http://cs.man.ac.uk/amulet/async/)}. (1995-01-18)

AT bus architecture {Industry Standard Architecture}

Axiomatic Architecture Description Language "language, architecture, parallel" (AADL) A language allowing concise modular specification of {multiprocessor} architectures from the compiler/operating-system interface level down to chip level. AADL is rich enough to specify target architectures while providing a concise model for clocked {microarchitectures}. ["AADL: A Net-Based Specification Method for Computer Architecture Design", W. Damm et al in Languages for Parallel Architectures, J.W. deBakker ed, Wiley, 1989]. (2003-06-30)

back-end "programming" Any software performing either the final stage in a process, or a task not apparent to the user. A common usage is in a {compiler}. A compiler's back-end generates {machine language} and performs optimisations specific to the machine's {architecture}. The term can also be used in the context of {network} applications. E.g. "The back-end of the system handles {socket} protocols". Contrast {front end}. (1996-04-09)

band ::: v. t. --> A fillet, strap, or any narrow ligament with which a thing is encircled, or fastened, or by which a number of things are tied, bound together, or confined; a fetter.
A continuous tablet, stripe, or series of ornaments, as of carved foliage, of color, or of brickwork, etc.
In Gothic architecture, the molding, or suite of moldings, which encircles the pillars and small shafts.
That which serves as the means of union or connection


barbaresque ::: a. --> Barbaric in form or style; as, barbaresque architecture.

Architecture Neutral Distribution Format "programming, operating system" (ANDF) An emerging {OSF} {standard} for software distribution. Programs are compiled into ANDF before distribution and {executables} are produced from it for the local target system. This allows software to be developed and distributed in a single version then installed on a variety of hardware. See also {UNCOL}. ["Architecture Neutral Distribution Format: A White Paper", Open Software Foundation, Nov 1990]. (1995-10-20)

Basic Object Adapter "architecture" (BOA) Part of the {CORBA} architecture. [Details?] (2004-06-23)

Berkeley Software Design, Inc "company" (BSDI) A company that sells {BSD/OS}, a commercial version of {Berkeley Standard Distribution} {Unix}, networking, and Internet technologies originally developed by the {Computer Systems Research Group} (CSRG) at the {University of California at Berkeley}. Leading CSRG computer scientists founded BSDI in 1991. BSDI's BSD/OS represents over 20 years of development by the worldwide BSD technical community. BSD technology is known worldwide for its powerful, flexible and portable architecture and advanced development environments. BSDI designs, develops, markets, and supports the {BSD/OS} {operating system}, {Internet} server software for {IBM PCs}, and other products. BSDI planned to release an Internet gateway product for {Novell} {IPX} networks in 1995. {(http://bsdi.com/)}. E-mail: "bsdi-info@bsdi.com". Address: 5575 Tech Center Drive,

big-endian 1. "data, architecture" A computer {architecture} in which, within a given multi-{byte} numeric representation, the most significant byte has the lowest address (the word is stored "big-end-first"). Most processors, including the {IBM 370} family, the {PDP-10}, the {Motorola} {microprocessor} families, and most of the various {RISC} designs current in mid-1993, are big-endian. See {-endian}. 2. "networking, standard" A backward {electronic mail address}. The world now follows the {Internet} {hostname} {standard} (see {FQDN}) and writes e-mail addresses starting with the name of the computer and ending up with the {country code} (e.g. fred@doc.acme.ac.uk). In the United Kingdom the {Joint Networking Team} decided to do it the other way round (e.g. me@uk.ac.wigan.cs) before the {Internet} {domain} standard was established. Most {gateway sites} required {ad-hockery} in their {mailers} to handle this. By July 1994 this parochial idiosyncracy was on the way out and mailers started to reject big-endian addresses. By about 1996, people would look at you strangely if you suggested such a bizarre thing might ever have existed. [{Jargon File}] (1998-08-09)

bit bang Transmission of data on a {serial line} accomplished by rapidly changing a single output bit, in software, at the appropriate times. The technique is a simple loop with eight OUT and SHIFT instruction pairs for each byte. Input is more interesting. And {full-duplex} (doing input and output at the same time) is one way to separate the real hackers from the {wannabees}. Bit bang was used on certain early models of {Prime} computers, presumably when {UARTs} were too expensive, and on archaic {Zilog Z80} micros with a {Zilog} PIO but no SIO. In an interesting instance of the {cycle of reincarnation}, this technique is now (1991) coming back into use on some {RISC} architectures because it consumes such an infinitesimal part of the processor that it actually makes sense not to have a {UART}. [{Jargon File}]

bit slice "architecture" A technique for constructing a {processor} from modules, each of which processes one {bit-field} or "slice" of an {operand}. Bit slice processors usually consist of an {ALU} of 1, 2, 4 or 8 bits and control lines (including {carry} or {overflow} signals usually internal to the {CPU}). For example, two 4-bit ALUs could be arranged side by side, with control lines between them, to form an 8-bit ALU. A {sequencer} executes a program to provide data and control signals. The {AMD Am2901} is an example. (1994-11-15)

BLOB 1. {binary large object}. 2. "architecture" {blitter object}. [{Jargon File}]

Blue Glue {Systems Network Architecture}

building ::: p. pr. & vb. n. --> of Build ::: n. --> The act of constructing, erecting, or establishing.
The art of constructing edifices, or the practice of civil architecture.
That which is built; a fabric or edifice constructed, as


bus "architecture, networking" A set of electrical conductors (wires, PCB tracks or connections in an {integrated circuit}) connecting various "stations", which can be {functional units} in a computer or {nodes} in a {network}. A bus is a {broadcast} channel, meaning that each station receives every other station's transmissions and all stations have equal access to the bus. Various schemes have been invented to solve the problem of collisions: multiple stations trying to transmit at once, e.g. {CSMA/CD}, {bus master}. The term is almost certainly derived from the electrical engineering term "bus bar" - a substantial, rigid power supply conductor to which several connections are made. This was once written "'bus bar" as it was a contraction of "omnibus bar" - a connection bar "for all", by analogy with the passenger omnibus - a conveyance "for all". {More on derivation (/pub/misc/omnibus.html)}. There are busses both within the {CPU} and connecting it to external {memory} and {peripheral} devices. The data bus, address bus and control signals, despite their names, really constitute a single bus since each is useless without the others. The width of the data bus is usually specified in {bits} and is the number of parallel connectors. This and the {clock rate} determine the bus's data rate (the number of {bytes} per second which it can carry). This is one of the factors limiting a computer's performance. Most current {microprocessors} have 32-bit busses both internally and externally. 100 or 133 {megahertz} bus clock rates are common. The bus clock is typically slower than the processor clock. Some processors have internal busses which are wider than their external busses (usually twice the width) since the width of the internal bus affects the speed of all operations and has less effect on the overall system cost than the width of the external bus. Various bus designs have been used in the {PC}, including {ISA}, {EISA}, {Micro Channel}, {VL-bus} and {PCI}. Other peripheral busses are NuBus, TURBOchannel, VMEbus, MULTIBUS and STD bus. See also {bus network}. {Ukranian (http://open-taxi.com/mynews/~adrian/10)}. (2010-07-10)

bus master "architecture" The device in a computer which is driving the {address bus} and bus control signals at some point in time. In a simple architecture only the (single) {CPU} can be bus master but this means that all communications between ("slave") I/O devices must involve the CPU. More sophisticated architectures allow other capable devices (or multiple CPUs) to take turns at controling the bus. This allows, for example, a {network controller} card to access a {disk controller} directly while the CPU performs other tasks which do not require the bus, e.g. fetching code from its {cache}. Note that any device can drive data onto the {data bus} when the CPU reads from that device, but only the bus master drives the {address bus} and control signals. {Direct Memory Access} is a simple form of bus mastering where the I/O device is set up by the CPU to read from or write to one or more contiguous blocks of memory and then signal to the CPU when it has done so. Full bus mastering (or "First Party DMA", "bus mastering DMA") implies that the I/O device is capable of performing more complex sequences of operations without CPU intervention (e.g. servicing a complete {NFS} request). This will normally mean that the I/O device contains its own processor or {microcontroller}. See also {distributed kernel}. (1996-08-26)

byte-code "file format, software" A {binary} file containing an {executable} program, consisting of a sequence of ({op code}, data) pairs. Byte-code op codes are most often fixed size {bit patterns}, but can be variable size. The data portion consists of zero or more {bits} whose format typically depends on the op code. A byte-code program is interpreted by a {byte-code interpreter}. The advantage of this technique compared with outputing {machine code} for some particular processor is that the same byte-code can be executed on any processor on which the byte-code interpreter runs. The byte-code may be compiled to machine code ("native code") for speed of execution but this usually requires significantly greater effort for each new taraget architecture than simply porting the interpreter. For example, {Java} is compiled to byte-code which runs on the {Java Virtual Machine}. (2006-05-29)

byte "unit" /bi:t/ (B) A component in the machine {data hierarchy} larger than a {bit} and usually smaller than a {word}; now nearly always eight bits and the smallest addressable unit of storage. A byte typically holds one {character}. A byte may be 9 bits on 36-bit computers. Some older architectures used "byte" for quantities of 6 or 7 bits, and the PDP-10 and IBM 7030 supported "bytes" that were actually {bit-fields} of 1 to 36 (or 64) bits! These usages are now obsolete, and even 9-bit bytes have become rare in the general trend toward power-of-2 word sizes. The term was coined by Werner Buchholz in 1956 during the early design phase for the {IBM} {Stretch} computer. It was a mutation of the word "bite" intended to avoid confusion with "bit". In 1962 he described it as "a group of bits used to encode a character, or the number of bits transmitted in parallel to and from input-output units". The move to an 8-bit byte happened in late 1956, and this size was later adopted and promulgated as a standard by the {System/360} {operating system} (announced April 1964). James S. Jones "jsjones@graceland.edu" adds: I am sure I read in a mid-1970's brochure by IBM that outlined the history of computers that BYTE was an acronym that stood for "Bit asYnchronous Transmission E..?" which related to width of the bus between the Stretch CPU and its CRT-memory (prior to Core). Terry Carr "bear@mich.com" says: In the early days IBM taught that a series of bits transferred together (like so many yoked oxen) formed a Binary Yoked Transfer Element (BYTE). [True origin? First 8-bit byte architecture?] See also {nibble}, {octet}. [{Jargon File}] (2003-09-21)

Byzantine "jargon, architecture" A term describing any system that has so many labyrinthine internal interconnections that it would be impossible to simplify by separation into loosely coupled or linked components. The city of Byzantium, later renamed Constantinople and then Istanbul, and the Byzantine Empire were vitiated by a bureaucratic overelaboration bordering on lunacy: quadruple banked agencies, dozens or even scores of superfluous levels and officials with high flown titles unrelated to their actual function, if any. Access to the Emperor and his council was controlled by powerful and inscrutable eunuchs and by rival sports factions. [Edward Gibbon, "Decline and Fall of the Roman Empire"]. (1999-01-15)

CA 1. "theory, architecture" {cellular automaton}. 2. "company" {Computer Associates}. 3. "cryptography" {Certificate Authority}.

Cache On A STick "architecture" (COAST) {Intel Corporation} attempt to's standardise the modular {L2 cache} subsystem in {Pentium}-based computers. A COAST module should be about 4.35" wide by 1.14" high. According to earlier specifications from {Motorola}, a module between 4.33" and 4.36" wide, and between 1.12" and 1.16" high is within the COAST standard. Some module vendors, including some major motherboard suppliers, greatly violate the height specification. Another COAST specification violated by many suppliers concerns clock distribution in synchronous modules. The specification requires that the clock tree to each synchronous chip be balanced, i.e. equal length from edge of the connector to individual chips. An unbalanced clock tree increases reflections and noise. For a 256 {kilobyte} cache module the standard requires the same clock be used for both chips but some vendors use separate clocks to reduce loading on the clock driver and hence increase the clock speed. However, this creates unbalanced loading in other motherboard configurations, such as motherboards with soldered caches in the system. (1996-06-10)

CAM 1. "storage, architecture" {content addressable memory}. 2. "application" {computer aided manufacturing}.

Carl Friedrich Gauss "person" A German mathematician (1777 - 1855), one of all time greatest. Gauss discovered the {method of least squares} and {Gaussian elimination}. Gauss was something of a child prodigy; the most commonly told story relates that when he was 10 his teacher, wanting a rest, told his class to add up all the numbers from 1 to 100. Gauss did it in seconds, having noticed that 1+...+100 = 100+...+1 = (101+...+101)/2. He did important work in almost every area of mathematics. Such eclecticism is probably impossible today, since further progress in most areas of mathematics requires much hard background study. Some idea of the range of his work can be obtained by noting the many mathematical terms with "Gauss" in their names. E.g. {Gaussian elimination} ({linear algebra}); {Gaussian primes} (number theory); {Gaussian distribution} (statistics); {Gauss} [unit] (electromagnetism); {Gaussian curvature} (differential geometry); {Gaussian quadrature} (numerical analysis); {Gauss-Bonnet formula} (differential geometry); {Gauss's identity} ({hypergeometric functions}); {Gauss sums} ({number theory}). His favourite area of mathematics was {number theory}. He conjectured the {Prime Number Theorem}, pioneered the {theory of quadratic forms}, proved the {quadratic reciprocity theorem}, and much more. He was "the first mathematician to use {complex numbers} in a really confident and scientific way" (Hardy & Wright, chapter 12). He nearly went into architecture rather than mathematics; what decided him on mathematics was his proof, at age 18, of the startling theorem that a regular N-sided polygon can be constructed with ruler and compasses if and only if N is a power of 2 times a product of distinct {Fermat primes}. (1995-04-10)

CATNIP {Common Architecture for Next Generation Internet Protocol}

cavetto ::: n. --> A concave molding; -- used chiefly in classical architecture. See Illust. of Column.

CDA 1. "file format" {Compound Document Architecture}. 2. "legal" {Communications Decency Act}.

cellular multiprocessing "architecture, parallel" (CMP) The partitioning of {processors} into separate computing environments running different {operating systems}. The term cellular multiprocessing appears to have been coined by {Unisys}, who are developing a system where computers communicate as clustered machines through a high speed {bus}, rather than through communication {protocols} such as {TCP/IP}. The Unisys system is based on {Intel} processors, initially the {Pentium II Xeon} and moving on to the 64-bit {Merced} processors later in 1999. It will be scalable from four up to 32 processors, which can be clustered or partitioned in various ways. For example a sixteen processor system could be configured as four {Windows NT} systems (each functioning as a four-processor {symmetric multiprocessing} system), or an 8-way NT and 8-way {Unix} system. Supported operating systems will be {Windows NT}, {SCO}'s {Unixware} 7.0, Unisys' {SVR4} {Unix} and possibly the OS2200 and MCP-AS {mainframe} operating systems (with the assistance of Unisys' own dedicated {chipset}). {(http://marketplace.unisys.com/ent/cmp.html)}. (1998-09-09)

Cellular Neural Network "architecture" (CNN) The CNN Universal Machine is a low cost, low power, extremely high speed {supercomputer} on a chip. It is at least 1000 times faster than equivalent {DSP} solutions of many complex {image processing} tasks. It is a stored program supercomputer where a complex sequence of image processing {algorithms} is programmed and downloaded into the chip, just like any digital computer. Because the entire computer is integrated into a chip, no signal leaves the chip until the image processing task is completed. Although the CNN universal chip is based on analogue and logic operating principles, it has an on-chip analog-to-digital input-output interface so that at the system design and application perspective, it can be used as a digital component, just like a DSP. In particular, a development system is available for rapid design and prototyping. Moreover, a {compiler}, an {operating system}, and a {user-friendly} CNN {high-level language}, like the {C} language, have been developed which makes it easy to implement any image processing algorithm. [Professor Leon Chua, University of California at Berkeley]. (1995-04-27)

central processing unit "architecture, processor" (CPU, processor) The part of a computer which controls all the other parts. Designs vary widely but the CPU generally consists of the {control unit}, the {arithmetic and logic unit} (ALU), {registers}, temporary {buffers} and various other logic. The control unit fetches {instructions} from memory and decodes them to produce signals which control the other parts of the computer. These signals cause it to transfer data between memory and ALU or to activate {peripherals} to perform input or output. Various types of memory, including {cache}, {RAM} and {ROM}, are often considered to be part of the CPU, particularly in modern {microprocessors} where a single {integrated circuit} may contain one or more processors as well as any or all of the above types of memory. The CPU, and any of these components that are in separate chips, are usually all located on the same {printed circuit board}, known as the {motherboard}. This in turn is located in the {system unit} (sometimes incorrectly referred to as the "CPU"). A {parallel computer} has several CPUs which may share other resources such as memory and peripherals. The term "processor" has to some extent replaced "CPU", though RAM and ROM are not logically part of the processor. {List of processors (http://lldn.timesys.com/complete_list_of_processors)}. (2007-04-02)

Chalmers University of Technology "body, education" A Swedish university founded in 1829 offering master of science and doctoral degrees. Research is carried out in the main engineering sciences as well as in technology related mathematical and natural sciences. Five hundred faculty members work in more than 100 departments organised in nine schools. Chalmers collaborates with the University of Göteborg. Around 8500 people work and study on the Chalmers campus, including around 500 faculty members and some 600 teachers and doctoral students. About 4800 students follow the master degree programs. Every year 700 Masters of Science in Engineering and in Architecture graduate from Chalmers, and about 190 PhDs and licentiates are awarded. Some 40% of Sweden's engineers and architects are Chalmers graduates. About a thousand research projects are in progress and more than 1500 scientific articles and research reports are published every year. Chalmers is a partner in 80 EC research projects. {(http://chalmers.se/Home-E.html)}. Address: S-412 96 Göteborg, Sweden. (1995-02-16)

chevron ::: n. --> One of the nine honorable ordinaries, consisting of two broad bands of the width of the bar, issuing, respectively from the dexter and sinister bases of the field and conjoined at its center.
A distinguishing mark, above the elbow, on the sleeve of a non-commissioned officer&


Clean "language" A {lazy} {higher-order} {purely functional language} from the {University of Nijmegen}. Clean was originally a subset of {Lean}, designed to be an experimental {intermediate language} and used to study the {graph rewriting} model. To help focus on the essential implementation issues it deliberately lacked all {syntactic sugar}, even {infix} expressions or {complex lists}, As it was used more and more to construct all kinds of applications it was eventually turned into a general purpose functional programming language, first released in May 1995. The new language is {strongly typed} (Milner/Mycroft type system), provides {modules} and {functional I/O} (including a {WIMP} interface), and supports {parallel processing} and {distributed processing} on {loosely coupled} parallel architectures. Parallel execution was originally based on the {PABC} {abstract machine}. It is one of the fastest implementations of functional languages available, partly aided by programmer {annotations} to influence evaluation order. Although the two variants of Clean are rather different, the name Clean can be used to denote either of them. To distinguish, the old version can be referred to as Clean 0.8, and the new as Clean 1.0 or Concurrent Clean. The current release of Clean (1.0) includes a compiler, producing code for the {ABC} {abstract machine}, a {code generator}, compiling the ABC code into either {object-code} or {assembly language} (depending on the {platform}), I/O libraries, a {development environment} (not all platforms), and {documentation}. It is supported (or will soon be supported) under {Mac OS}, {Linux}, {OS/2}, {Windows 95}, {SunOS}, and {Solaris}. {(http://cs.kun.nl/~clean/)}. E-mail: "clean@cs.kun.nl". Mailing list: "clean-request@cs.kun.nl". ["Clean - A Language for Functional Graph Rewriting", T. Brus et al, IR 95, U Nijmegen, Feb 1987]. ["Concurrent Clean", M.C. van Eekelen et al, TR 89-18, U Nijmegen, Netherlands, 1989]. [{Jargon File}] (1995-11-08)

client "programming" A computer system or process that requests a service of another computer system or process (a "{server}") using some kind of {protocol} and accepts the server's responses. A client is part of a {client-server} software architecture. For example, a {workstation} requesting the contents of a file from a {file server} is a client of the file server. (1997-10-27)

Client-Server Analyst Programmer "job" A person who analyses and designs {application programs} for a {client-server architecture}. Typical skills include {ODBC}, {Windows 95}, {Windows NT}, {Macintosh}, {Novell}, {OS/2}, {Unix}, and {RPC}. (2004-03-09)

cloud computing "architecture" A loosely defined term for any system providing access via the {Internet} to processing power, storage, software or other computing services, often via a {web browser}. Typically these services will be rented from an external company that hosts and manages them. (2009-04-21)

cluster 1. "architecture" Multiple {servers} providing the same service. The term may imply {resilience} to failure and/or some kind of {load balancing} between the servers. Compare {RAIS}. 2. "file system" An elementary unit of allocation of a {disk} made up of one or more physical {blocks}. A {file} is made up of a whole number of possibly non-contiguous clusters. The cluster size is a tradeoff between space efficiency (the bigger is the cluster, the bigger is on the average the wasted space at the end of each file) and the length of the {FAT}. (1996-11-04)

CMA {Concert Multithread Architecture} from {DEC}.

CNN "architecture" {Cellular Neural Network}.

cognitive architecture "architecture" A computer architecure involving {non-deterministic}, multiple {inference} processes, as found in {neural networks}. Cognitive architectures model the human brain and contrast with single processor computers. The term might also refer to software architectures, e.g. {fuzzy logic}. [Origin? Better definition? Reference?] (1995-11-29)

column ::: n. --> A kind of pillar; a cylindrical or polygonal support for a roof, ceiling, statue, etc., somewhat ornamented, and usually composed of base, shaft, and capital. See Order.
Anything resembling, in form or position, a column in architecture; an upright body or mass; a shaft or obelisk; as, a column of air, of water, of mercury, etc.; the Column Vendome; the spinal column.
A body of troops formed in ranks, one behind the other; --


Common Architecture for Next Generation Internet Protocol "networking" (CATNIP, originally Common Architecture Technology for Next-generation Internet Protocol) A network architecture designed to provide a compressed form of the existing {network layer} {protocols} and to integrate {CLNP}, {IP}, and {IPX}. It provides for any of the {transport layer} {protocols} in use, including {TP4}, {CLTP}, {TCP}, {UDP}, {IPX}, and {SPX}, to run over any of the network layer protocol formats: CLNP, IP (version 4), IPX and CATNIP. CATNIP was originally proposed by Robert L. Ullmann of {Lotus Development Corporation} on 1993-12-22. It was published as {RFC 1707} in October 1994 but it is not an {Internet} standard of any kind. (1996-03-23)

Common Object Request Broker Architecture "standard, programming" (CORBA) An {Object Management Group} specification which provides a standard messaging interface between distributed {objects}. The original CORBA specification (1.1) has been revised through version 2 (CORBA 2) with the latest specification being version 3 (CORBA 3). In its most basic form CORBA consists of the {Interface Definition Language} (IDL) and the Dynamic Invocation Interface (DII). The IDL definition is complied into a Stub (client) and Skeleton (server) component that communicate through an {Object Request Broker} (ORB). When an ORB determines that a request is to a remote object, it may execute the request by communicating with the remote ORB. The Corba IDL can be mapped to a number of languages including {C}, {C++}, {Java}, {COBOL}, {Smalltalk}, {Ada}, {Lisp}, {Python}, and {IDLscript}. CORBA ORBs are widely available for a number of platforms. The OMG standard for inter-ORB communication is {IIOP}, this ensures that all CORBA 2 compliant ORBS are able to interoperate. See also {COSS}, {Component Object Model}, {RMI}. {OMG CORBA specs (http://www.omg.org/technology/documents/corba_spec_catalog.htm)}. (2007-09-04)

Complex Instruction Set Computer (CISC) A processor where each instruction can perform several low-level operations such as memory access, arithmetic operations or address calculations. The term was coined in contrast to {Reduced Instruction Set Computer}. Before the first RISC processors were designed, many computer architects were trying to bridge the "{semantic gap}" - to design {instruction sets} to support {high-level languages} by providing "high-level" instructions such as procedure call and return, loop instructions such as "decrement and branch if non-zero" and complex {addressing modes} to allow data structure and {array} accesses to be compiled into single instructions. While these architectures achieved their aim of allowing high-level language constructs to be expressed in fewer instructions, it was observed that they did not always result in improved performance. For example, on one processor it was discovered that it was possible to improve the performance by NOT using the procedure call instruction but using a sequence of simpler instructions instead. Furthermore, the more complex the instruction set, the greater the overhead of decoding an instruction, both in execution time and silicon area. This is particularly true for processors which used {microcode} to decode the (macro) instruction. It is easier to debug a complex instruction set implemented in microcode than one whose decoding is "{hard-wired}" in silicon. Examples of CISC processors are the {Motorola} {680x0} family and the {Intel 80186} through {Intel 486} and {Pentium}. (1994-10-10)

component architecture "programming" A notion in {object-oriented} programming where "components" of a program are completely generic. Instead of having a specialised set of {methods} and {fields} they have generic methods through which the component can advertise the functionality it supports to the system into which it is loaded. This enables completely {dynamic loading} of {objects}. {JavaBeans} is an example of a component architecture. See also {design pattern}. (1997-11-20)

Component Object Model "programming" (COM) An open software architecture from {DEC} and {Microsoft}, allowing interoperation between {ObjectBroker} and {OLE}. Microsoft evolved COM into {DCOM}. On page XV of Box's book in the foreword by Charlie Kindel he says, "It is Mark Ryland's fault that some people call COM the 'Common Object Model.' He deeply regrets it and apologizes profusely." ["Essential COM", Don Box]. [Details? URL?] (1999-06-12)

component "programming" An {object} adhering to a {component architecture}. (1997-11-20)

Compound Document Architecture "file format" (CDA) {DEC}'s set of {standards} for compound document creation, storage, retrieval, interchange and manipulation. (1996-11-03)

Consul "language" A {constraint}-based {declarative language} based on {axiomatic set theory} and designed for {parallel} execution on {MIMD} architectures. Consul's fundamental {data type} is the {set} and its fundamental {operators} are the {logical connectives} ("and", "or", "not") and {quantifiers} ("forall", "exists"). It is written in {Lisp}-like {syntax}, e.g., (plus x y z) which means the relation x = y+z (not an {assignment statement}). {["Design of the CONSUL Programming Language", D. Baldwin, C. A. Quiroz Gonzalez, University of Rochester. Computer Science Department, TR208, 1987 Feb (http://hdl.handle.net/1802/6372)]} {["Consul: A Parallel Constraint Language", D. Baldwin, IEEE Software 6(4):62-71, 1989 July (http://dx.doi.org/10.1109/52.31653)]} (2014-10-04)

control bus "architecture" In a {digital computer}, the signal paths that carry commands from the {instruction decode} logic to various {functional units} such as the {ALU}, {memory address register}, {memory data register} and other {buffers}. Named by analogy with the {address bus} and {data bus}, each of which carries a set of related signals, the signals carried by the control bus are more varied and independent. (2018-01-29)

control structure "programming" One of the {instructions}, {statements} or groups of statements in a programming language that determines the sequence of execution of other instructions or statements (the {control flow}). In {assembly language} this typically consists of {jumps} and {conditional jumps} along with {function} call and {return}, though some architectures include other constructs such as an instruction which skips the following instruction depending on some condition ({PDP}?), various kinds of {loop} instructions (later {Motorola 680x0}) or conditional execution of all instructions (Advanced RISC Machine). Basic control structures (whatever their names in particular languages) include "if CONDITION then EXPRESSION else EXPRESSION", the {switch statement}, "while CONDITION do EXPRESSION", function call, the suspect "{goto}" and the much-feared "{come from}". Other constructs handle errors and {exceptions} such as {traps} and {interrupts}. (1997-09-14)

control unit 1. "processor" The part of a {CPU} that sends control signals to other components to cause them to execute the {machine cycle} - fetch, decode, execute, store. 2. "architecture" Any device that controls the I/O operations of one or more {peripheral} devices, e.g. a {disk controller}. (2008-05-21)

CORBA {Common Object Request Broker Architecture}

corbel ::: n. --> A bracket supporting a superincumbent object, or receiving the spring of an arch. Corbels were employed largely in Gothic architecture. ::: v. t. --> To furnish with a corbel or corbels; to support by a corbel; to make in the form of a corbel.

Core Protocol Stack "architecture" 1. A portion of the {Web Services} {architecture} for defining and describing various {Web Services}. 2. The architectural {protocol} layers of a {Bluetooth} {wireless} {communication} system, comprising the {Host Control Interface} (HCI), {Logical Link Control and Adaptation Protocol} (L2CAP), {RS232 Serial Cable Emulation Profile} (RFCOMM), {Service Discovery Protocol} (SDP), and {Object Exchange} (OBEX). (2002-06-28)

corinthian ::: a. --> Of or relating to Corinth.
Of or pertaining to the Corinthian order of architecture, invented by the Greeks, but more commonly used by the Romans.
Debauched in character or practice; impure.
Of or pertaining to an amateur sailor or yachtsman; as, a corinthian race (one in which the contesting yachts must be manned by amateurs.)


cycle of reincarnation A term coined by {Ivan Sutherland} ca. 1970 to refer to a well-known effect whereby function in a computing system family is migrated out to special-purpose {peripheral} hardware for speed, then the peripheral evolves toward more computing power as it does its job, then somebody notices that it is inefficient to support two asymmetrical processors in the architecture and folds the function back into the main {CPU}, at which point the cycle begins again. Several iterations of this cycle have been observed in {graphics-processor} ({blitter}) design, and at least one or two in communications and {floating-point} processors. Also known as "the Wheel of Life", "the Wheel of Samsara" and other variations of the basic Hindu/Buddhist theological idea. [{Jargon File}] (1994-11-16)

Cyrix 6x86 "processor" (6x86) {IBM} and {Cyrix}'s {sixth-generation}, 64-bit {80x86}-compatible {microprocessor}. The 6x86 combines aspects of both {RISC} and {CISC}. It has a {superscalar}, {superpipelined} {core}, and performs {register renaming}, {speculative execution}, {out-of-order completion}, and {data dependency removal}. It has a 16-kilobyte {primary cache} and is socket-compatible with the {Pentium} P54C. It has four performance levels: PR 120+, PR 150+, PR 166+ and PR 200+. The chip was designed by Cyrix and is manufactured by IBM. The architecture of the 6x86 is more advanced than that of the Pentium, incorporating some of the features of Intel's {Pentium Pro}. At a given {clock rate} it executes most code more quickly than a Pentium would. However, its {FPU} is considerably less efficient than Intel's. {IBM FAQ (http://chips.ibm.com/products/x86/6x86/faqs/6x86_faqs.html)}, {Cyrix FAQ (http://cyrix.com/process/prodinfo/6x86/faq-6x86.htm)}. (1997-05-26)

DAA Distributed Application Architecture: under design by Hewlett-Packard and Sun. A distributed object management environment that will allow applications to be developed independent of operating system, network or windowing system.

DAG 1. "architecture" {Data Address Generator}. 2. "mathematics" {directed acyclic graph}. (1997-08-30)

Data Address Generator "architecture" (DAG) The mechanism which generates temporary memory addresses for data that is transferred between memory and {registers} in a {Digital Signal Processor}. Certain {DSP} architectures incorporate more than one DAG to simplify the programming needed to move blocks of data between buffers. For instance, certain {Fast Fourier Transform} {algorithms} requiring {bit reversing}, can use the DAG for that purpose, or they can use two DAGS, one for Program Memory Data (PMD), and the other for Data Memory Data (DMD). (1997-08-12)

database 1. "database" One or more large structured sets of persistent data, usually associated with software to update and {query} the data. A simple database might be a single file containing many {records}, each of which contains the same set of {fields} where each field is a certain fixed width. A database is one component of a {database management system}. See also {ANSI/SPARC Architecture}, {atomic}, {blob}, {data definition language}, {deductive database}, {distributed database}, {fourth generation language}, {functional database}, {object-oriented database}, {relational database}. {Carol E. Brown's tutorial (http://accounting.rutgers.edu/raw/aies/www.bus.orst.edu/faculty/brownc/lectures/db_tutor/db_tutor.htm)}. 2. "hypertext" A collection of {nodes} managed and stored in one place and all accessible via the same {server}. {Links} outside this are "external", and those inside are "internal". On the {World-Wide Web} this is called a {website}. 3. All the facts and rules comprising a {logic programming} program. (2005-11-17)

data bus "architecture" The bus (connections between and within the {CPU}, memory, and peripherals) used to carry {data}. Other connections are the {address bus} and control signals. The width and {clock rate} of the data bus determine its data rate (the number of {bytes} per second it can carry), which is one of the main factors determining the processing power of a computer. Most current processor designs use a 32-bit bus, meaning that 32 bits of data can be transferred at once. Some processors have an internal data bus which is wider than their external bus in order to make external connections cheaper while retaining some of the benefits in processing power of a wider bus. See also {data path}. (1995-01-16)

data driven A data driven architecture/language performs computations in an order dictated by data dependencies. Two kinds of data driven computation are {dataflow} and {demand driven}. From about 1970 research in parallel {data driven} computation increased. Centres of excellence emerged at {MIT}, {CERT-ONERA} in France, {NTT} and {ETL} in Japan and {Manchester University}.

Data Driven Machine "language" (DDM) A {dataflow} language. ["The Architecture and System Method of DDM-1: A Recursively Structured Data Driven Machine", A. Davis, Proc 5th Ann Symp Comp Arch, IEEE 1978]. (1999-04-26)

data feed "data, architecture" Some process for transferring {data} from one system to another in a predetermined form. (2009-05-17)

data flow "architecture" A data flow architecture or language performs a computation when all the {operands} are available. Data flow is one kind of {data driven} architecture, the other is {demand driven}. It is a technique for specifying {fine-grain concurrency}, usually in the form of two-dimensional graphs in which instructions that are available for concurrent execution are written alongside each other while those that must be executed in sequence are written one under the other. Data dependencies between instructions are indicated by directed arcs. Instructions do not reference memory since the data dependence arcs allow data to be transmitted directly from the producing instruction to the consuming one. Data flow schemes differ chiefly in the way that they handle {re-entrant} code. Static schemes disallow it, dynamic schemes use either "code copying" or "tagging" at every point of reentry. An example of a data flow architecture is {MIT}'s {VAL} machine.

Data Link Switching "networking" (DLSw) A standard for transporting {IBM} {Systems Network Architecture} (SNA) and {network basic input/output system} (NetBIOS) traffic over an {Internet protocol} network. Initially, in 1992, DLSw was proprietary to IBM. It was submitted to the {IETF} as {RFC 1434} in 1993, later updated by {RFC 1795}. {(http://www.cisco.com/univercd/cc/td/doc/cisintwk/ito_doc/dlsw.htm)}. (2008-01-11)

data path "architecture" A {CPU}'s internal {data bus} and {functional units}. The width of the data path in bits is a major determiner of the processor's performance. (1997-07-09)

DCA 1. Defense Communications Agency. See {DISA}. 2. {Document Content Architecture} from {IBM}.

death code A routine whose job is to set everything in the computer - {registers}, memory, flags - to zero, including that portion of memory where it is running; its last act is to stomp on its own "store zero" instruction. Death code isn't very useful, but writing it is an interesting hacking challenge on architectures where the instruction set makes it possible, such as the {PDP-8} or the {Data General} {Nova}. Perhaps the ultimate death code is on the {TI 990} series, where all {registers} are actually in {RAM}, and the instruction "store immediate 0" has the {opcode} 0. The {program counter} will immediately wrap around core as many times as it can until a user hits HALT. Any empty memory location is death code. Worse, the manufacturer recommended use of this instruction in startup code (which would be in {ROM} and therefore survive). [{Jargon File}]

delayed control-transfer "architecture" A technique used on the {SPARC} processor to reduce the effect of {pipeline breaks} by executing the instruction after a branch instruction (the "delay instruction" in the "delay slot"). If there is no useful instruction which can be placed in the delay slot then the "annul bit" on the control transfer instruction can be set, preventing execution of the delay instruction (unless the control transfer is conditional and is taken). Annulled branches are indicated in SPARC assembler language by appending ",A" to the {operation code}. For example, LOOP: ... CMP  %L0,10 BLE,A LOOP ADD   %L2, %L3,

Delta-4 Definition and Design of an open Dependable Distributed system architecture. An Esprit project investigating the achievement of dependability in open distributed systems, including real-time systems.

demand driven A demand driven architecture/language performs computations when the result is required by some other computation. E.g. {Imperial College}'s {ALICE} running {HOPE}. See also {data flow}, {lazy evaluation}, {reduction}. (1995-02-16)

dink /dink/ Said of a machine that has the {bitty box} nature; a machine too small to be worth bothering with - sometimes the system you're currently forced to work on. First heard from an {MIT} hacker working on a {CP/M} system with 64K, in reference to any {6502} system, then from fans of 32 bit architectures about 16-bit machines. "GNUMACS will never work on that dink machine." Probably derived from mainstream "dinky", which isn't sufficiently pejorative. See {macdink}. [{Jargon File}] (1994-10-31)

direct mapped cache "architecture" A {cache} where the cache location for a given address is determined from the middle address bits. If the {cache line} size is 2^n then the bottom n address bits correspond to an offset within a cache entry. If the cache can hold 2^m entries then the next m address bits give the cache location. The remaining top address bits are stored as a "tag" along with the entry. In this scheme, there is no choice of which block to flush on a cache miss since there is only one place for any block to go. This simple scheme has the disadvantage that if the program alternately accesses different addresses which map to the same cache location then it will suffer a cache miss on every access to these locations. This kind of {cache conflict} is quite likely on a multi-processor. See also {fully associative cache}, {set associative cache}.

Direct Memory Access "architecture" (DMA) A facility of some architectures which allows a peripheral to read and write memory without intervention by the CPU. DMA is a limited form of {bus master}ing. (1996-08-23)

Disiple "language, DSP" A {DSP} language. ["A Compiler that Easily Retargets High Level Language Programs for Different Signal Processing Architectures", J.E. Peters & S.M. Dunn, Proc ICASSP 89, pp. 1103-1106, May 1989]. (2000-11-16)

Distributed Computing Environment (DCE) An architecture consisting of {standard} programming interfaces, conventions and {server} functionalities (e.g. naming, distributed file system, {remote procedure call}) for distributing applications transparently across networks of {heterogeneous} computers. DCE is promoted and controlled by the {Open Software Foundation} (OSF). {Usenet} newsgroup: {news:comp.soft-sys.dce}. {(http://dstc.edu.au/AU/research_news/dce/dce.html)}. (1994-12-07)

Distributed Data Management "protocol, database" (DDM) An {IBM} {data} {protocol} architecture for data management services across {distributed} systems in an {SNA} environment. DDM provides a common {data management language} for data interchange among different IBM system platforms. Products supporting DDM include {AS/400}, {System/36}, {System/38} and {CICS/DDM}. On the AS/400, DDM controls remote file processing. DDM enables application programs running on one AS/400 system to access data files stored on another system supporting DDM. Similarly, other systems that have DDM can access files in the database of the local AS/400 system. DDM makes it easier to distribute file processing between two or more systems. {OS/400 Distributed Data Management V3R6 Reference (http://as400bks.rochester.ibm.com/cgi-bin/bookmgr/bookmgr.cmd/BOOKS/QBJALH00/CCONTENTS)}. (1999-04-26)

distributed memory "architecture" The kind of memory in a {parallel processor} where each processor has fast access to its own local memory and where to access another processor's memory it must send a message via the inter-processor network. Opposite: {shared memory}. (1995-03-22)

distributed system A collection of (probably heterogeneous) automata whose distribution is transparent to the user so that the system appears as one local machine. This is in contrast to a network, where the user is aware that there are several machines, and their location, storage replication, load balancing and functionality is not transparent. Distributed systems usually use some kind of {client-server} organisation. Distributed systems are considered by some to be the "next wave" of computing. {Distributed Computing Environment} is the {Open Software Foundation}'s software architecture for distributed systems. {(http://dstc.edu.au/AU/research_news/dist-env.html)}. (1994-12-06)

DNA computing "architecture" The use of DNA molecules to encode computational problems. Standard operations of molecular biology can then be used to solve some {NP-hard} {search problems} in parallel using a very large number of molecules. The exponential scaling of NP-hard problems still remains, so this method will require a huge amount of DNA to solve large problems. [L. M. Adleman, "Molecular Computation of Solutions to Combinatorial Problems", Science 266:1021-1024, 1994]. (1997-02-11)

dogtooth ::: n. --> See Canine tooth, under Canine.
An ornament common in Gothic architecture, consisting of pointed projections resembling teeth; -- also called tooth ornament.


Domain Analysis "systems analysis" 1. Determining the operations, data objects, properties and {abstractions} appropriate for designing solutions to problems in a given {domain}. 2. The {domain engineering} activity in which domain knowledge is studied and formalised as a domain definition and a domain specification. A {software reuse} approach that involves combining software components, subsystems, etc., into a single application system. 3. The process of identifying, collecting organising, analysing and representing a {domain model} and software architecture from the study of existing systems, underlying theory, emerging technology and development histories within the domain of interest. 4. The analysis of systems within a domain to discover commonalities and differences among them. (1997-12-26)

domain architecture "systems analysis" A generic, organisational structure or design for software systems in a {domain}. The domain architecture contains the designs that are intended to satisfy requirements specified in the {domain model}. A domain architecture can be adapted to create designs for software systems within a domain and also provides a framework for configuring {assets} within individual software systems. (1997-12-26)

Domain Architecture Model "systems analysis" A set of software architectures generic to a {domain} that define organising frameworks for constructing new application designs and implementations within the domain, consistent with the domain requirements model. (1997-12-26)

domain engineering "systems analysis" 1. The development and evolution of {domain} specific knowledge and artifacts to support the development and evolution of systems in the domain. Domain engineering includes engineering of {domain models}, components, methods and tools and may also include {asset management}. 2. The engineering process of analysing and modelling a domain, designing and modelling a generic solution architecture for a product line within that domain, implementing and using reusable components of that architecture and maintaining and evolving the domain, architecture and implementation models. 3. A reuse-based approach to defining the scope ({domain definition}), specifying the structure ({domain architecture}) and building the Assets (requirements, designs, software code, documentation) for a class of systems, subsystems or applications. Domain engineering can include domain definition, domain analysis, developing the domain architecture domain implementation.

domain-specific language "language" A machine-processable language whose terms are derived from a {domain model} and that is used for the definition of components or software architectures supporting that domain. A domain-specific language is often used as input to an application generator. (1997-12-26)

doric ::: a. --> Pertaining to Doris, in ancient Greece, or to the Dorians; as, the Doric dialect.
Belonging to, or resembling, the oldest and simplest of the three orders of architecture used by the Greeks, but ranked as second of the five orders adopted by the Romans. See Abacus, Capital, Order.
Of or relating to one of the ancient Greek musical modes or keys. Its character was adapted both to religions occasions and to war.


Drawing: Essential element of painting, sculpture and architecture. The Florentine Renaissance and all classical epochs in general considered drawing the basis of the aforesaid arts which were called the arts of drawing. -- L.V.

Dynamic Address Translation "architecture" (DAT) Conversion of a {virtual address} into a {physical address}, as performed by a {memory management unit} and an {operating system} which supports {virtual memory}. (1995-01-30)

dynamic translation "architecture" A {virtual machine} implementation approach, used to speed up execution of {byte-code} programs. To execute a program unit such as a {method} or a {function}, the virtual machine compiles its bytecodes into (hardware) machine code. The translated code is also placed in a cache, so that next time that unit's machine code can be executed immediately, without repeating the translation. This technique was pioneered by the commercial {Smalltalk} implementation currently known as {VisualWorks}, in the early 1980s. Currently it is also used by some implementations of the {Java Virtual Machine} under the name {JIT} (Just In Time compilation). [Peter L. Deutsch and Alan Schiffman. "Efficient Implementation of the Smalltalk-80 System", 11th Annual Symposium on Principles of Programming Languages, Jan 1984, pp. 297-302]. (2002-04-15)

eager evaluation Any {evaluation strategy} where evaluation of some or all function arguments is started before their value is required. A typical example is {call-by-value}, where all arguments are passed evaluated. The opposite of eager evaluation is {call-by-need} where evaluation of an argument is only started when it is required. The term "{speculative evaluation}" is very close in meaning to eager evaluation but is applied mostly to parallel architectures whereas eager evaluation is used of both sequential and parallel evaluators. Eager evaluation does not specify exactly when argument evaluation takes place - it might be done fully speculatively (all {redex}es in the program reduced in parallel) or may be done by the caller just before the function is entered. The term "eager evaluation" was invented by Carl Hewitt and Henry Baker "hbaker@netcom.com" and used in their paper ["The Incremental Garbage Collection of Processes", Sigplan Notices, Aug 1977. {(ftp://ftp.netcom.com/pub/hb/hbaker/Futures.html)}]. It was named after their "eager beaver" evaluator. See also {conservative evaluation}, {lenient evaluation}, {strict evaluation}. (1994-12-22)

EISA {Extended Industry-Standard Architecture}

elegancy ::: n. --> The state or quality of being elegant; beauty as resulting from choice qualities and the complete absence of what deforms or impresses unpleasantly; grace given by art or practice; fine polish; refinement; -- said of manners, language, style, form, architecture, etc.
That which is elegant; that which is tasteful and highly attractive.


elizabethan ::: a. --> Pertaining to Queen Elizabeth or her times, esp. to the architecture or literature of her reign; as, the Elizabethan writers, drama, literature. ::: n. --> One who lived in England in the time of Queen Elizabeth.

emulation "architecture" When one system performs in exactly the same way as another, though perhaps not at the same speed. A typical example would be emulation of one computer by (a program running on) another. You might use an emulation as a replacement for a system whereas you would use a simulation if you just wanted to analyse it and make predictions about it. (2003-05-22)

endian "data, architecture" Suffix used in the terms {big-endian} and {little-endian} that describe the ordering of {bytes} in a multi-byte number. The term comes from Swift's "Gulliver's Travels" via the famous paper "On Holy Wars and a Plea for Peace" by Danny Cohen, USC/ISI IEN 137, 1980-04-01. The Lilliputians, being very small, had correspondingly small political problems. The Big-Endian and Little-Endian parties debated over whether soft-boiled eggs should be opened at the big end or the little end. See also {middle-endian}, {holy wars}, {NUXI problem}, {swab}. (2007-08-14)

Enterprise JavaBeans "specification, business, programming" (EJB) A {server}-side {component architecture} for writing reusable {business logic} and {portable} {enterprise} applications. EJB is the basis of {Sun}'s {Java 2 Platform, Enterprise Edition} (J2EE). Enterprise JavaBean components are written entirely in {Java} and run on any EJB compliant server. They are {operating system}, {platform}, and {middleware} independent, preventing vendor {lock-in}. EJB servers provide system-level services (the "plumbing") such as {transactions}, security, {threading}, and {persistence}. The EJB architecture is inherently transactional, {distributed}, {multi-tier}, {scalable}, secure, and {wire protocol} neutral - any {protocol} can be used: {IIOP}, {JRMP}, {HTTP}, {DCOM} etc. EJB 1.1 requires {RMI} for communication with components. EJB 2.0 is expected to require support for RMI/IIOP. EJB applications can serve assorted clients: {browsers}, Java, {ActiveX}, {CORBA} etc. EJB can be used to wrap {legacy systems}. EJB 1.1 was released in December 1999. EJB 2.0 is in development. Sun claims broad industry adoption. 30 vendors are shipping server products implementing EJB. Supporting vendors include {IBM}, {Fujitsu}, {Sybase}, {Borland}, {Oracle}, and {Symantec}. An alternative is Microsoft's MTS ({Microsoft Transaction Server}). {(http://java.sun.com/products/ejb/)}. {FAQ (http://java.sun.com/products/ejb/faq.html)}. (2000-04-20)

Enterprise Resource Planning "application, business" (ERP) Any {software} system designed to support and automate the business processes of medium and large businesses. This may include manufacturing, distribution, personnel, project management, payroll, and financials. ERP systems are accounting-oriented information systems for identifying and planning the {enterprise}-wide resources needed to take, make, distribute, and account for customer orders. ERP systems were originally extensions of {MRP II} systems, but have since widened their scope. An ERP system also differs from the typical MRP II system in technical requirements such as {relational database}, use of {object oriented programming} language, {computer aided software engineering} tools in development, {client/server} {architecture}, and {open system} {portability}. {JBOPS} are the major producers of ERP software. {"ERP Systems - Using IT to gain a competitive advantage", Shankarnarayanan S. (http://expressindia.com/newads/bsl/advant.htm)}. (1999-07-27)

EPOC "operating system" A family of graphical {operating systems} developed by {Psion} for portable devices, primarily {PDA}s. The name EPOC came from epoch, the beginning of an era, but was backfitted by the engineers to "Electronic Piece Of Cheese". The first version, later known as EPOC16, was developed in the late 1980s and early 1990s for Psion's "{SIBO}" (SIxteen Bit Organisers) devices. All EPOC16 devices feature an {8086}-family processor and a 16-bit architecture. EPOC16 is a single-user {pre-emptive multitasking} operating system, written in Intel 8086 {assembler language} and {C} and designed to be delivered in {ROM}. It supported a simple programming language called {OPL} and an {IDE} called {OVAL}. EPOC16 was followed by {EPOC32} in 1997. (2009-05-26)

ESA 1. "architecture" {Enterprise Systems Architecture}. 2. "body" European Space Agency. (1999-10-31)

Extended Architecture "storage" (XA) A {CD-ROM} drive specification required by {Green Book CD-ROM} and {White Book CD-ROM} formats. Drives labelled "XA ready" may require a {firmware} upgrade. (1994-11-02)

Extended Industry-Standard Architecture "architecture, standard" (EISA) /eesa/ A {bus} standard for {IBM compatibles} that extends the {ISA} bus architecture to 32 bits and allows more than one {CPU} to share the bus. The {bus mastering} support is also enhanced to provide access to 4 GB of memory. Unlike {MCA}, EISA can accept older {XT bus architecture} and {ISA} boards. EISA was announced in late 1988 by compatible vendors as a counter to {IBM}'s MCA in its {PS/2} series. Although somewhat inferior to the MCA it became much more popular due to the proprietary nature of MCA. [Main sponsors? Open standard?] (1996-06-25)

fault 1. "programming" A manifestation of an {error} in {software}. A fault, if encountered, may cause a {failure}. 2. "architecture" {page fault}. (1996-05-14)

fault tolerance "architecture" 1. The ability of a system or component to continue normal operation despite the presence of hardware or software faults. This often involves some degree of {redundancy}. 2. The number of faults a system or component can withstand before normal operation is impaired. (1995-04-06)

fetch-execute cycle "architecture, processor" The sequence of actions that a {central processing unit} performs to execute each {machine code} instruction in a program. At the beginning of each cycle the CPU presents the value of the {program counter} on the {address bus}. The CPU then fetches the instruction from {main memory} (possibly via a {cache} and/or a {pipeline}) via the {data bus} into the {instruction register}. From the instruction register, the data forming the instruction is decoded and passed to the {control unit} which sends a sequence of control signals to the relevant {function units} of the CPU to perform the actions required by the instruction such as reading values from {registers}, passing them to the {ALU} to add them together and writing the result back to a register. The program counter is then incremented to address the next instruction and the cycle is repeated. The fetch-execute cycle was first proposed by {John von Neumann}. (1998-06-25)

Fiber Distributed Data Interface (FDDI) A 100 Mbit/s {ANSI} {standard} {local area network} architecture, defined in X3T9.5. The underlying medium is {optical fibre} (though it can be copper cable, in which case it may be called {CDDI}) and the topology is a {dual-attached}, counter-rotating {token ring}. FDDI rings are normally constructed in the form of a "dual ring of trees". A small number of devices, typically infrastructure devices such as {routers} and {concentrators} rather than {host} computers, are connected to both rings - these are referred to as "{dual-attached}". Host computers are then connected as {single-attached} devices to the {routers} or {concentrators}. The dual ring in its most degenerate form is simply collapsed into a single device. In any case, the whole dual ring is typically contained within a computer room. This network topology is required because the dual ring actually passes through each connected device and requires each such device to remain continuously operational (the standard actually allows for optical bypasses but these are considered to be unreliable and error-prone). Devices such as {workstations} and {minicomputers} that may not be under the control of the {network managers} are not suitable for connection to the dual ring. As an alternative to a dual-attached connection, the same degree of resilience is available to a {workstation} through a {dual-homed} connection which is made simultaneously to two separate devices in the same FDDI ring. One of the connections becomes active while the other one is automatically blocked. If the first connection fails, the backup link takes over with no perceptible delay. {Usenet} newsgroup: {news:comp.dcom.lans.fddi}. (1994-12-13)

Fine Arts: Opposite of mechanical arts. Distinction of the arts whose principle is based on beauty (poetry, painting, sculpture, architecture, music). -- L.V.

finial ::: n. --> The knot or bunch of foliage, or foliated ornament, that forms the upper extremity of a pinnacle in Gothic architecture; sometimes, the pinnacle itself.

first generation 1. "architecture" {first generation computer}. 2. "language" {first generation language}.

first generation computer "architecture" A prototype computer based on {vacuum tubes} and other esoteric technologies. Chronologically, any computer designed before the mid-1950s. Examples include {Howard Aiken}'s {Mark 1} (1944), Maunchly and Eckert's {ENIAC} (1946), and the {IAS} computer. (1996-11-22)

flat 1. Lacking any complex internal structure. "That {bitty box} has only a flat file system, not a hierarchical one." The verb form is {flatten}. Usually used pejoratively (at least with respect to file systems). 2. Said of a memory architecture like that of the {VAX} or {Motorola} {680x0} that is one big linear address space (typically with each possible value of a processor register corresponding to a unique address). This is a {Good Thing}. The opposite is a "{segmented}" architecture like that of the {Intel 80x86} in which addresses are composed from a base-register/offset pair. Segmented designs are generally considered cretinous. 3. A flat {domain} is one where all elements except {bottom} are incomparable (equally well defined). E.g. the integers. [{Jargon File}]

flat address space "architecture" The memory architecture in which any memory location can be selected from a single contiguous block by a single integer offset. Almost all popular {processors} have a flat address space, but the {Intel x86} family has a {segmented address space}. A flat address space greatly simplifies programming because of the simple correspondence between addresses (pointers) and integers. (1996-09-10)

flute ::: v. i. --> A musical wind instrument, consisting of a hollow cylinder or pipe, with holes along its length, stopped by the fingers or by keys which are opened by the fingers. The modern flute is closed at the upper end, and blown with the mouth at a lateral hole.
A channel of curved section; -- usually applied to one of a vertical series of such channels used to decorate columns and pilasters in classical architecture. See Illust. under Base, n.
To play on, or as on, a flute; to make a flutelike sound.


Flynn's taxonomy "architecture" A classification of computer architectures based on the number of streams of instructions and data: {Single instruction/single data} stream (SISD) - a sequential computer. Multiple instruction/single data stream (MISD) - unusual. {Single instruction/multiple data} streams (SIMD) - e.g. an {array processor}. {Multiple instruction/multiple data} streams (MIMD) - multiple autonomous processors simultaneously executing different instructions on different data. [Flynn, M. J., "Some Computer Organizations and Their Effectiveness", IEEE Transactions on Computing C-21, No. 9, Sep 1972, pp 948-960]. ["A Survey of Parallel Computer Architectures", Duncan, Ralph, IEEE Computer, Feb 1990, pp 5-16]. (2003-05-29)

foliage ::: n. --> Leaves, collectively, as produced or arranged by nature; leafage; as, a tree or forest of beautiful foliage.
A cluster of leaves, flowers, and branches; especially, the representation of leaves, flowers, and branches, in architecture, intended to ornament and enrich capitals, friezes, pediments, etc. ::: v. t.


fossil 1. In software, a misfeature that becomes understandable only in historical context, as a remnant of times past retained so as not to break compatibility. Example: the retention of {octal} as default base for string escapes in {C}, in spite of the better match of {hexadecimal} to ASCII and modern byte-addressable architectures. See {dusty deck}. 2. More restrictively, a feature with past but no present utility. Example: the force-all-caps (LCASE) bits in the V7 and {BSD} Unix tty driver, designed for use with monocase terminals. (In a perversion of the usual backward-compatibility goal, this functionality has actually been expanded and renamed in some later {USG Unix} releases as the IUCLC and OLCUC bits.) 3. The FOSSIL (Fido/Opus/Seadog Standard Interface Level) driver specification for serial-port access to replace the {brain-dead} routines in the IBM PC ROMs. Fossils are used by most {MS-DOS} {BBS} software in preference to the "supported" ROM routines, which do not support interrupt-driven operation or setting speeds above 9600; the use of a semistandard FOSSIL library is preferable to the {bare metal} serial port programming otherwise required. Since the FOSSIL specification allows additional functionality to be hooked in, drivers that use the {hook} but do not provide serial-port access themselves are named with a modifier, as in "video fossil". [{Jargon File}]

fourth generation computer "architecture" A computer built using {Very Large Scale Integration} (VLSI) {integrated circuits}, especially a {microcomputer} based on a {microprocesseor}, or a {parallel processor} containing two to thousands of {CPUs}. VLSI made it routine to fabricate an entire CPU, main memory, or similar device with a single integrated circuit that can be mass produced at very low cost. This has resulted in new classes of machines such as {personal computers}, and high performance parallel processors that contains thousands of CPUs. (1996-11-22)

Free On-line Dictionary of Computing "introduction" FOLDOC is a searchable dictionary of acronyms, jargon, programming languages, tools, architecture, operating systems, networking, theory, conventions, standards, mathematics, telecoms, electronics, institutions, companies, projects, products, history, in fact anything to do with computing. Copyright 1985 by Denis Howe Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.1 or any later version published by the Free Software Foundation; with no Invariant Sections, Front- or Back-Cover Texts. A copy of the license is included in the section entitled "{GNU Free Documentation License}". Please refer to the dictionary as "The Free On-line Dictionary of Computing, http://foldoc.org/, Editor Denis Howe" or similar. Please make the URL both text (for humans) and a hyperlink (for Google). You can search the latest version of the dictionary at URL http://foldoc.org/. Where {LaTeX} commands for certain non-{ASCII} symbols are mentioned, they are described in their own entries. "\" is also used to represent the Greek lower-case lambda used in {lambda-calculus}. See {Pronunciation} for how to interpret the pronunciation given for some entries. Cross-references to other entries look {like this}. Note that not all cross-references actually lead anywhere yet, but if you find one that leads to something inappropriate, please let me know. Dates after entries indicate when that entry was last updated. {More about FOLDOC (about.html)}. (2018-05-22)

fusarole ::: n. --> A molding generally placed under the echinus or quarter round of capitals in the Doric, Ionic, and Corinthian orders of architecture.

GAIA GUI Application Interoperability Architecture. An {OSF} project.

GCC "compiler, programming" The {GNU} {Compiler} Collection, which currently contains front ends for {C}, {C++}, {Objective-C}, {Fortran}, {Java}, and {Ada}, as well as libraries for these languages (libstdc++, libgcj, etc). GCC formerly meant the GNU {C} compiler, which is a very high quality, very portable compiler for {C}, {C++} and {Objective C}. The compiler supports multiple {front-ends} and multiple {back-ends} by translating first into {Register Transfer Language} and from there into {assembly code} for the target architecture. {(http://gcc.gnu.org/)}. {Bug Reports (http://gcc.gnu.org/bugzilla/)}. {FTP} gcc-2.X.X.tar.gz from your nearest {GNU archive site}. {MS-DOS (ftp://oak.oakland.edu/pub/msdos/djgpp/)}. Mailing lists: gcc-help@gcc.gnu.org, gcc-announce@gcc.gnu.org (announcements). ["Using and Porting GNU CC", R.M. Stallman, 1992-12-16]. (2003-08-05)

GCOS "operating system" /jee'kohs/ An {operating system} developed by {General Electric} from 1962; originally called GECOS (the General Electric Comprehensive Operating System). The GECOS-II operating system was developed by {General Electric} for the 36-bit {GE-635} in 1962-1964. Contrary to rumour, GECOS was not cloned from {System/360} [{DOS/360}?] - the GE-635 architecture was very different from the {IBM 360} and GECOS was more ambitious than DOS/360. GE Information Service Divsion developed a large special multi-computer system that was not publicised because they did not wish {time sharing} customers to challenge their bills. Although GE ISD was marketing {DTSS} - the first commercial time sharing system - GE Computer Division had no license from Dartmouth and GE-ISD to market it to external customers, so they designed a time-sharing system to sell as a standard part of GECOS-III, which replaced GECOS-II in 1967. GECOS TSS was more general purpose than DTSS, it was more a programmer's tool (program editing, e-mail on a single system) than a BASIC TSS. The {GE-645}, a modified 635 built by the same people, was selected by {MIT} and {Bell} for the {Multics} project. Multics' infancy was as painful as any infancy. Bell pulled out in 1969 and later produced {Unix}. After the buy-out of GE's computer division by {Honeywell}, GECOS-III was renamed GCOS-3 (General Comprehensive Operating System). Other OS groups at Honeywell began referring to it as "God's Chosen Operating System", allegedly in reaction to the GCOS crowd's uninformed and snotty attitude about the superiority of their product. [Can anyone confirm this?] GCOS won and this led in the orphaning and eventual death of Honeywell {Multics}. Honeywell also decided to launch a new product line called Level64, and later DPS-7. It was decided to mainatin, at least temporarily, the 36-bit machine as top of the line, because GCOS-3 was so successfull in the 1970s. The plan in 1972-1973 was that GCOS-3 and Multics should converge. This plan was killed by Honeywell management in 1973 for lack of resources and the inability of Multics, lacking {databases} and {transaction processing}, to act as a business operating system without a substantial reinvestment. The name "GCOS" was extended to all Honeywell-marketed product lines and GCOS-64, a completely different 32-bit operating system, significanctly inspired by Multics, was designed in France and Boston. GCOS-62, another different 32-bit low-end DOS level was designed in Italy. GCOS-61 represented a new version of a small system made in France and the new {DPS-6} 16-bit {minicomputer} line got GCOS-6. When the intended merge between GCOS-3 and Multics failed, the Phoenix designers had in mind a big upgrade of the architecture to introduce {segmentation} and {capabilities}. GCOS-3 was renamed GCOS-8, well before it started to use the new features which were introduced in next generation hardware. The GCOS licenses were sold to the Japanese companies {NEC} and {Toshiba} who developed the Honeywell products, including GCOS, much further, surpassing the {IBM 3090} and {IBM 390}. When Honeywell decided in 1984 to get its top of the range machines from NEC, they considered running Multics on them but the Multics market was considered too small. Due to the difficulty of porting the ancient Multics code they considered modifying the NEC hardware to support the Multics compilers. GCOS3 featured a good {Codasyl} {database} called IDS (Integrated Data Store) that was the model for the more successful {IDMS}. Several versions of transaction processing were designed for GCOS-3 and GCOS-8. An early attempt at TP for GCOS-3, not taken up in Europe, assumed that, as in {Unix}, a new process should be started to handle each transaction. IBM customers required a more efficient model where multiplexed {threads} wait for messages and can share resources. Those features were implemented as subsystems. GCOS-3 soon acquired a proper {TP monitor} called Transaction Driven System (TDS). TDS was essentially a Honeywell development. It later evolved into TP8 on GCOS-8. TDS and its developments were commercially successful and predated IBM {CICS}, which had a very similar architecture. GCOS-6 and GCOS-4 (ex-GCOS-62) were superseded by {Motorola 68000}-based {minicomputers} running {Unix} and the product lines were discontinued. In the late 1980s Bull took over Honeywell and Bull's management chose Unix, probably with the intent to move out of hardware into {middleware}. Bull killed the Boston proposal to port Multics to a platform derived from DPS-6. Very few customers rushed to convert from GCOS to Unix and new machines (of CMOS technology) were still to be introduced in 1997 with GCOS-8. GCOS played a major role in keeping Honeywell a dismal also-ran in the {mainframe} market. Some early Unix systems at {Bell Labs} used GCOS machines for print spooling and various other services. The field added to "/etc/passwd" to carry GCOS ID information was called the "{GECOS field}" and survives today as the "pw_gecos" member used for the user's full name and other human-ID information. [{Jargon File}] (1998-04-23)

gothic ::: a. --> Pertaining to the Goths; as, Gothic customs; also, rude; barbarous.
Of or pertaining to a style of architecture with pointed arches, steep roofs, windows large in proportion to the wall spaces, and, generally, great height in proportion to the other dimensions -- prevalent in Western Europe from about 1200 to 1475 a. d. See Illust. of Abacus, and Capital.


Gothic: A style in architecture, sculpture and pointing between the 12th and the 16th centurv.

gothicism ::: n. --> A Gothic idiom.
Conformity to the Gothic style of architecture.
Rudeness of manners; barbarousness.


greco-roman ::: a. --> Having characteristics that are partly Greek and partly Roman; as, Greco-Roman architecture.

Green Book CD-ROM A standard {CD-ROM} format developed by {Philips} for {CD-i}. It is {ISO 9660} compliant and uses mode 2 form 2 addressing. It can only be played on drives which are XA ({Extended Architecture}) compatible. Many Green Book discs contain {CD-i} applications which can only be played on a {CD-i} player but many others contain films or music videos. Video CDs in Green Book format are normally labelled "Digital Video on CD" Green Book was obsoleted by {White book CD-ROM} in March 1994. (1994-11-02)

green lightning [IBM] 1. Apparently random flashing streaks on the face of 3278-9 terminals while a new symbol set is being downloaded. This hardware bug was left deliberately unfixed, as some genius within IBM suggested it would let the user know that "something is happening". That, it certainly does. Later microprocessor-driven IBM colour graphics displays were actually *programmed* to produce green lightning! 2. [proposed] Any bug perverted into an alleged feature by adroit rationalisation or marketing. "Motorola calls the CISC {cruft} in the 88000 architecture "compatibility logic", but I call it green lightning". See also {feature}.

hagioscope ::: n. --> An opening made in the interior walls of a cruciform church to afford a view of the altar to those in the transepts; -- called, in architecture, a squint.

Hal/S "language" (Hal/Shuttle) A {real-time} {high-level language} for flight control applications. Hal was developed for {NASA} by {Intermetrics, Inc.} in the 1970s for on-board {software}. The initial version was a {Fortran} {preprocessor}, written in Fortran. In 1972, as the space shuttle project advanced, the language was renamed Hal/S and made more adaptable to {architecture} of different computers by using {XPL}. In all, 11 different implementations, mostly based on {IBM 360}, were created. Applications also included projects by {JPL} (Galileo probe, Deep Space network). ["Two-Dimensional Characteristics of HAL, A Language for Spaceflight Applications", J.S. Miller, SIGPLAN Notices 7(10), Oct 1972]. ["Space Station Flight Software: Hal/S or Ada?", Allan R. Klumpp, "Computer", March 1985]. (2002-07-13)

Halt and Catch Fire "humour, processor" (HCF) Any of several undocumented and semi-mythical {machine instructions} with destructive side-effects, supposedly included for test purposes on several well-known architectures going as far back as the {IBM 360}. The {Motorola 6800} {microprocessor} was the first for which an HCF {opcode} became widely known. This instruction caused the processor to read every memory location sequentially until reset. [{Gerry Wheeler, Byte, December 1977, p46, "Undocumented M6800 Instructions" (https://archive.org/details/byte-magazine-1977-12)}]. (2014-09-20)

Harvard architecture "architecture" A computer {architecture} in which program instructions are stored in different memory from data. Each type of memory is accessed via a separate {bus}, allowing instructions and data to be fetched in parallel. Contrast: {von Neumann architecture}. [Why Harvard?] (2004-01-14)

HCF 1. "operating system" {Host Command Facility}. 2. "architecture" {Halt and Catch Fire}. [{Jargon File}] (1999-01-24)

Hewlett Packard Precision Architecture (HP-PA) {Hewlett Packard}'s range of RISC processors. [Details?] (1995-02-22)

High Performance Routing "networking" (HPR) Routing designed to work in conjunction with {APPN} {Intermediate Session Routing} (ISR) network nodes. HPR nodes perform many of the same functions as ISR nodes. For example, HPR nodes use the same method of calculating routes based on the {Topology} Routing Service database and {class of service} tables. HPR nodes also supports such APPN features as connection networks and support for parallel {transmission groups} (TGs). In the HPR architecture, both partner nodes must support HPR for {RTP} connections to take place between the nodes. If one node supports HPR and the partner node does not, then the link will support ISR functionality only. ["APPN Architecture and Product Implementations Tutorial", IBM, GG24-3669-92]. (1997-05-08)

hit 1. "architecture" {cache hit}. 2. "web" A request to a {web server} from a {web browser} or other {client} (e.g. a {robot}). The number of hits on a server may be important for determining advertising revenue. In the course of loading a single {web page}, a browser may hit a web server many times e.g. to retrieve the page itself and each {image} on the page. In contrast, caching by browsers and {web proxies} reduces the number of hits on the server because some requests are satisfied from the cache. 3. "jargon" To press and release a key on the keyboard. Some prefer the less aggressive "tap". (2000-02-20)

hit rate "architecture" The fraction of all memory reads which are satisfied from the {cache}. (1997-01-21)

horizontal encoding "processor" An {instruction set} where each field (a bit or group of bits) in an instruction word controls some {functional unit} or {gate} directly, as opposed to {vertical encoding} where instruction fields are decoded (by {hard-wired} {logic} or {microcode}) to produce the control signals. Horizontal encoding allows all possible combinations of control signals (and therefore operations) to be expressed as instructions whereas vertical encoding uses a shorter instruction word but can only encode those combinations of operations built into the decoding logic. An {instruction set} may use a mixture of horizontal and vertical encoding within each instruction. Because an architecture using horizontal encoding typically requires more instruction word bits it is sometimes known as a {very long instruction word} (VLIW) architecture. (1995-04-23)

HPcode Stack-based intermediate language used by {HP} in many of its compilers for {RISC} and stack-based architectures. Supports {Fortran}, {Ada}, {Pascal}, {COBOL} and {C++}. Descended from Stanford's {U-code}.

HP-PA {Hewlett Packard Precision Architecture}

IA32 "architecture" The {processor} chip architecture and {instruction set} used by {Intel} in its {Pentium} processors. (2007-06-17)

IAB {Internet Architecture Board}

IBM 370ESA "computer, IBM" (Enterprise System Architecture) An {IBM} {mainframe} {computer} introduced in 1988. Successor to the {IBM 370XA} had enhanced access registers that allowed access to other forms of virtual memory. This enhancement allowed more data storage in main and virtual memory, reducing {I/O} operating and improving speed and efficiency. The IBM 370ESA was rebranded as the IBM390, and later as the zSeries. (2004-06-06)

IBM 704 "computer" A large, scientific computer made by {IBM} and used by the largest commercial, government and educational institutions. The IBM 704 had 36-bit memory words, 15-bit addresses and instructions with one address. A few {index register} instructions had the infamous 15-bit decrement field in addition to the 15-bit address. The 704, and {IBM 709} which had the same basic architecture, represented a substantial step forward from the {IBM 650}'s {magnetic drum} storage as they provided random access at electronic speed to {core storage}, typically 32k words of 36 bits each. [Or did the 704 actually come *before* the 650?] A typical 700 series installation would be in a specially built room of perhaps 1000 to 2000 square feet, with cables running under a raised floor and substantial air conditioning. There might be up to eight {magnetic tape} transports, each about 3 x 3 x 6 feet, on one or two "channels." The 1/2 inch tape had seven tracks and moved at 150 inches per second, giving a read/write speed of 15,000 six bit characters (plus parity) per second. In the centre would be the operator's {console} consisting of cabinets and tables for storage of tapes and boxes of cards; and a {card reader}, a {card punch}, and a {line printer}, each perhaps 4 x 4 x 5 feet in dimension. Small {jobs} could be entered via {punched cards} at the console, but as a rule the user jobs were transferred from cards to {magnetic tape} by {off-line} equipment and only control information was entered at the console (see {SPOOL}). Before each job, the {operating system} was loaded from a read-only system tape (because the system in {core} could have been corrupted by the previous user), and then the user's program, in the form of card images on the input tape, would be run. Program output would be written to another tape (typically on another channel) for printing off-line. Well run installations would transfer the user's cards to tape, run the job, and print the output tape with a turnaround time of one to four hours. The processing unit typically occupied a position symmetric but opposite the operator's console. Physically the largest of the units, it included a glass enclosure a few feet in dimension in which could be seen the "core" about one foot on each side. The 36-bit word could hold two 18-bit addresses called the "Contents of the Address Register" ({CAR}) and the "Contents of the Decrement Register" ({CDR}). On the opposite side of the floor from the tape drives and operator's console would be a desk and bookshelves for the ever-present (24 hours a day) "field engineer" dressed in, you guessed it, a grey flannel suit and tie. The maintenance of the many thousands of {vacuum tubes}, each with limited lifetime, and the cleaning, lubrication, and adjustment of mechanical equipment, was augmented by a constant flow of {bug} reports, change orders to both hardware and software, and hand-holding for worried users. The 704 was oriented toward scientific work and included {floating point} hardware and the first {Fortran} implementation. Its hardware was the basis for the requirement in some programming languages that loops must be executed at least once. The {IBM 705} was the business counterpart of the 704. The 705 was a decimal machine with a circular register which could hold several variables (numbers, values) at the same time. Very few 700 series computers remained in service by 1965, but the {IBM 7090}, using {transistors} but similar in logical structure, remained an important machine until the production of the earliest {integrated circuits}. [Was the 704 scientific, business or general purpose? Difference between 704 and 709?] (1996-01-24)

IBM 709 "computer" A computer made by {IBM} oriented toward scientific work. The 709 had the same basic architecture as the {IBM 704} but with many {I/O} and performance refinements over the 704. The IBM 709 (like the 704) had 36-bit memory words, 15-bit addresses and instructions with one address. A few {index register} instructions had the infamous 15-bit decrement field in addition to the 15-bit address. The {IBM 7090} was a transistorised version of the 709. [Difference between 704 and 709?] (1999-01-19)

ICA {Independent Computing Architecture}

Independent Computing Architecture "protocol" (ICA) {Citrix}'s {proprietary} {protocol} that allows {client} {desktop computers} to run {applications} on {application servers}. Originally used between {Windows} systems, ICA is now also suported on {Unix} and {Macintosh} desktops and servers as well as some {thin client} hardware. (2012-07-08)

Indian Aesthetics: Art in India is one of the most diversified subjects. Sanskrit silpa included all crafts, fine art, architecture and ornament, dancing, acting, music and even coquetry. Behind all these endeavors is a deeprooted sense of absolute values derived from Indian philosophy (q.v.) which teaches the incarnation of the divine (Krsna, Shiva, Buddha), the transitoriness of life (cf. samsara), the symbolism and conditional nature of the phenomenal (cf. maya). Love of splendour and exaggerated greatness, dating back to Vedic (q.v.) times mingled with a grand simplicity in the conception of ultimate being and a keen perception and nature observation. The latter is illustrated in examples of verisimilous execution in sculpture and painting, the detailed description in a wealth of drama and story material, and the universal love of simile. With an urge for expression associated itself the metaphysical in its practical and seemingly other-worldly aspects and, aided perhaps by the exigencies of climate, yielded the grotesque as illustrated by the cave temples of Ellora and Elephanta, the apparent barbarism of female ornament covering up all organic beauty, the exaggerated, symbol-laden representations of divine and thereanthropic beings, a music with minute subdivisions of scale, and the like. As Indian philosophy is dominated by a monistic, Vedantic (q.v.) outlook, so in Indian esthetics we can notice the prevalence of an introvert unitary, soul-centric, self-integrating tendency that treats the empirical suggestively and by way of simile, trying to stylize the natural in form, behavior, and expression. The popular belief in the immanence as well as transcendence of the Absolute precludes thus the possibility of a complete naturalism or imitation. The whole range of Indian art therefore demands a sharing and re-creation of absolute values glimpsed by the artist and professedly communicated imperfectly. Rules and discussions of the various aspects of art may be found in the Silpa-sastras, while theoretical treatments are available in such works as the Dasarupa in dramatics, the Nrtya-sastras in dancing, the Sukranitisara in the relation of art to state craft, etc. Periods and influences of Indian art, such as the Buddhist, Kushan, Gupta, etc., may be consulted in any history of Indian art. -- K.F.L.

indirection "programming" Manipulating data via its address. Indirection is a powerful and general programming technique. It can be used for example to process data stored in a sequence of consecutive memory locations by maintaining a {pointer} to the current item and incrementing it to point to the next item. Indirection is supported at the {machine language} level by {indirect addressing}. Many processor and {operating system} architectures use {vectors} which are also an instance of indirection, being locations which hold the address of a routine to handle a particular event. The event handler can be changed simply by pointing the vector at a new piece of code. {C} includes operators "&" which returns the address of a {variable} and its inverse "*" which returns the variable at a given address. (1997-02-06)

Industry Standard Architecture "architecture, standard" (ISA) A {bus} {standard} for {IBM compatibles} that extends the {XT bus architecture} to 16 bits. It also allows for {bus mastering} although only the first 16 {MB} of {main memory} is available for direct access. In reference to the XT bus architecture it is sometimes referred to as "AT bus architecture". Compare {EISA}, {MCA}. (1996-06-25)

In its narrower meaning, the fine arts and literature. The problem of the distinction and classification of the arts originated with Lessing in reaction to the interference of poetical values in painting and vice versa. He distinguished poetry dealing with consecutive actions from painting concerned with figures coexisting in space. Later, aestheticians divided the arts into many classifications. Zimmermann, a pupil of Herbart, distinguished three groups: arts of material representation (architecture, sculpture, etc.), arts of perceptive representation (painting, music). arts of the representation of thought (poetry). This partition suggested to Fiedler the aesthetics of pure visibility, to Hanslick the aesthetics of pure musicality. And from Fiedler's idea was derived the so-called Science of Art independent of aesthetics. -- L.V.

input "architecture" {Data} transferred from the outside world into a computer system via some kind of {input device}. Opposite: {output}. (1997-04-28)

Instruction Address Register "architecture" (IAR) The {IBM} name for {program counter}. The IAR can be accessed by way of a {supervisor} call in {supervisor state}, but cannot be directly addressed in {problem state}. (1995-03-21)

instruction prefetch "architecture" A technique which attempts to minimise the time a {processor} spends waiting for {machine instructions} to be fetched from memory. Instructions following the one currently being executed are loaded into a prefetch queue when the processor's {external bus} is otherwise idle. If the processor executes a {branch} instruction or receives an {interrupt} then the queue must be flushed and reloaded from the new address. Instruction prefetch is often combined with {pipelining} in an attempt to keep the pipeline busy. By 1995 most processors used prefetching, e.g. {Motorola 680x0}, {Intel 80x86}. [First processors using prefetch?] (1998-03-29)

instruction register "architecture" (IR) The part of a {central processing unit} (CPU) {control unit} that holds the {machine instruction} currently being executed. The CPU's {fetch-execute cycle} loads instructions from {memory} into the instruction register. The IR in turn drives the {instruction decoding} logic that determines what operation teh CPU should perform on what data. Modern processors have an {instruction pipeline} to minimise the time the control unit is waiting for instructions and data to be fetched from memory. This may distrubute the job of the IR over several registers in the pipeline. (2018-08-31)

instruction scheduling "architecture" The {compiler} phase that orders instructions on a {pipelined}, {superscalar}, or {VLIW} architecture so as to maximise the number of function units operating in parallel and to minimise the time they spend waiting for each other. Examples are filling a {delay slot}; interspersing {floating-point} instructions with integer instructions to keep both units operating; making adjacent instructions independent, e.g. one which writes a register and another which reads from it; separating memory writes to avoid filling the {write buffer}. Norman P. Jouppi and David W. Wall, {"Available Instruction-Level Parallelism for Superscalar and Superpipelined Processors" (ftp://gatekeeper.dec.com/archive/pub/DEC/WRL/research-reports/WRL-TR-89.7.ps.Z)}, Proceedings of the Third International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 272--282, 1989. [The SPARC Architecture Manual, v8, ISBN 0-13-825001-4]

instruction set architecture "architecture" (ISA) The parts of a {processor}'s design that need to be understood in order to write {assembly language}, such as the {machine language} instructions and {registers}. Parts of the architecture that are left to the implementation, such as number of {superscalar} {functional units}, {cache} size and {cycle} speed, are not part of the ISA. The definition of {SPARC}, for example, carefully distinguishes between an implementation and a specification. (1999-01-16)

instruction set "architecture" The collection of {machine language} {instructions} that a particular {processor} understands. The term is almost synonymous with "{instruction set architecture}" since the instructions are fairly meaningless in isolation from the {registers} etc. that they manipulate. (1999-07-05)

Integrated Information Technology "company" (IIT) A Santa Clara based company producing a programmable, single chip {H.261} and {MPEG} system. The chip contains a {RISC} processor, originally based on the {MIPS} architecture but now called {RISCit}, and a "Pixel Processor". (1994-11-03)

Integrated Systems Architecture (ISA for {ODP}) An {Esprit} 2 project continuing the {ANSA} project. (1995-02-21)

Intel 8048 "processor" The {microcontroller} used in {IBM PC} keyboards. The 8048 was inspired by, and similar to, the {Fairchild F8} microprocessor but, being a microcontroller, was designed for low cost and small size. The 8048 has a modified {Harvard architecture}, with program {ROM} on chip and 64 to 256 bytes of {RAM} also on chip. I/O is mapped in its own {address space}. Though the 8048 was eventually replaced by the very popular but bizarre {Intel 8051} and {Intel 8052}, even in 2000 it is still very popular due to its low cost, wide availability, and development tools. [Was it really __the_first__ microcontroller? Are the ROM and RAM both on-chip?] (2000-06-01)

Intel 8086 "processor" A sixteen bit {microprocessor} chip used in early {IBM PCs}. The {Intel 8088} was a version with an eight-bit external data bus. The Intel 8086 was based on the design of the {Intel 8080} and {Intel 8085} (it was {source compatible} with the 8080) with a similar {register set}, but was expanded to 16 bits. The Bus Interface Unit fed the instruction stream to the Execution Unit through a 6 byte {prefetch} queue, so fetch and execution were concurrent - a primitive form of {pipelining} (8086 instructions varied from 1 to 4 bytes). It featured four 16-bit general {registers}, which could also be accessed as eight 8-bit registers, and four 16-bit {index registers} (including the {stack pointer}). The data registers were often used implicitly by instructions, complicating {register allocation} for temporary values. It featured 64K 8-bit I/O (or 32K 16 bit) ports and fixed {vectored interrupts}. There were also four {segment registers} that could be set from index registers. The segment registers allowed the CPU to access 1 meg of memory in an odd way. Rather than just supplying missing bytes, as most segmented processors, the 8086 actually shifted the segment registers left 4 bits and added it to the address. As a result, segments overlapped, and it was possible to have two pointers with the same value point to two different memory locations, or two pointers with different values pointing to the same location. Most people consider this a {brain damaged} design. Although this was largely acceptable for {assembly language}, where control of the segments was complete (it could even be useful then), in higher level languages it caused constant confusion (e.g. near/far pointers). Even worse, this made expanding the address space to more than 1 meg difficult. A later version, the {Intel 80386}, expanded the design to 32 bits, and "fixed" the segmentation, but required extra modes (suppressing the new features) for compatibility, and retains the awkward architecture. In fact, with the right assembler, code written for the 8008 can still be run on the most recent {Intel 486}. The {Intel 80386} added new {op codes} in a kludgy fashion similar to the {Zilog Z80} and {Zilog Z280}. The {Intel 486} added full {pipelines}, and {clock doubling} (like the {Zilog Z280}). So why did {IBM} chose the 8086 series when most of the alternatives were so much better? Apparently IBM's own engineers wanted to use the {Motorola 68000}, and it was used later in the forgotten {IBM Instruments} 9000 Laboratory Computer, but IBM already had rights to manufacture the 8086, in exchange for giving Intel the rights to its {bubble memory} designs. Apparently IBM was using 8086s in the IBM {Displaywriter} {word processor}. Other factors were the 8-bit {Intel 8088} version, which could use existing {Intel 8085}-type components, and allowed the computer to be based on a modified 8085 design. 68000 components were not widely available, though it could use {Motorola 6800} components to an extent. {Intel} {bubble memory} was on the market for a while, but faded away as better and cheaper memory technologies arrived. (1994-12-23)

Intel Corporation "company" A US microelectronics manufacturer. They produced the {Intel 4004}, {Intel 8080}, {Intel 8086}, {Intel 80186}, {Intel 80286}, {Intel 80386}, {Intel 486} and {Pentium} {microprocessor} families as well as many other {integrated circuits} and {personal computer} networking and communications products. Gordon Moore and Robert Noyce founded Intel in 1968 to design, manufacture, and market semiconductor computer memory to replace {magnetic core} memory, the dominant computer memory at that time. Dr. Andrew S. Grove joined Intel soon after its incorporation. Three years later, in 1971, Intel introduced the world's first {microprocessor}, the {Intel 4004}. Intel has design, development, production, and administration facilities throughout the western US, Europe and Asia. In 1995 nearly 75% of the world's {personal computers} use Intel architecture. Annual revenues are rapidly approaching $10 billion. In March, 1994, "Business Week" named Intel one of the top ten American companies in terms of profit, one of the top 15 market value winners, and 16th out of the magazine's top 1,000 companies overall. Intel invested a record $2.9 billion in capital and R&D in 1993, and expects to increase combined spending on these activities to $3.5 billion in 1994. Quarterly sales were $2770M and profits, $640M in Aug 1994. {(http://intel.com/)}. Address: Santa Clara, CA, USA. (1995-03-01)

Intelligent Input/Output "architecture" /i:-too-oh/ (I2O) A specification which aims to provide an {I/O} {device driver} architecture that is independent of both the specific device being controlled and the host {operating system}. The Hardware Device Module (HDM) manages the device and the OS Services Module (OSM) interfaces to the host operating system. The HDM is portable across multiple operating systems, processors and busses. The HDM and OSM communicate via a two layer {message passing} {protocol}. A Message Layer sets up a communications session and runs on top of a Transport Layer which defines how the two parties share information. I2O is also designed to facilitate intelligent I/O subsystems, with support for {message passing} between multiple independent processors. By relieving the host of {interrupt} intensive I/O tasks required by the various layers of a driver architecture, the I2O intelligent I/O architecture greatly improves I/O performance. I2O systems will be able to more efficiently deliver the I/O throughput required by a wide range of high bandwidth applications, such as networked {video}, {groupware} and {client-server} processing. I2O does not restrict where the layered modules execute, providing support for single processor, {multiprocessor}, and {clustered} systems. I2O is not intended to replace the driver architectures currently in existence. Rather, the objective is to provide an open, standards-based approach, which is complementary to existing drivers, and provides a framework for the rapid development of a new generation of portable, intelligent I/O. {(http://i2osig.org/)}. (1997-11-04)

Interface Definition Language (IDL) 1. An {OSF} standard for defining {RPC} stubs. [Details?] 2. Part of an effort by {Project DOE} at {SunSoft, Inc.} to integrate distributed {object} technology into the {Solaris} {operating system}. IDL provides the standard interface between objects, and is the base mechanism for object interaction. The {Object Management Group}'s {CORBA} 1.1 (Common Object Request Broker Architecture) specifies the interface between objects. IDL (Interface Definition Language) is the base mechanism for object interaction. The SunSoft OMG IDL CFE (Compiler Front End) version 1.2 provides a complete framework for building CORBA 1.1-compliant preprocessors for OMG IDL. To use it you write a back-end. A complete compiler of IDL would translate IDL into {client} side and {server} side routines for remote communication in the same manner as {Sun}'s current {RPCL} compiler. The IDL compiler front end allows integration of new back ends which can translate IDL to various programming languages. Several companies including Sunsoft are building back ends to the CFE which translate IDL into target languages, e.g. {Pascal} or {C++}, in the context of planned CORBA-compliant products. IDL requires C++ 2.1. Not to be confused with any of the other {IDLs}. E-mail: "idl-cfe@sun.com". {(ftp://omg.org/pub/omg_idl_cfe.tar.Z)}, {(ftp://omg.org/pub/OMG_IDL_CFE_1.2/)}. Telephone: Mache Creeger, SunSoft, Inc. +1 (415) 336 5884. (1993-05-04)

Intermedia "hypertext" A {hypertext} system developed by a research group at {IRIS} (Brown University) to support education and research. Intermedia was a "shell" over {A/UX} 1.1, programmed using an {object-oriented} toolkit and standard {DBMS} functions. The {data model} and architecture were designed for flexibility and consistency. Intermedia consisted of several {applications} sharing an {event-driven} {gui}. These included a {text editor} (InterText), graphics editor (InterDraw), picture viewer (InterPix), timeline editor (InterVal), 3D model viewer (InterSpect), {animation} editor (InterPlay) and video editor (InterVideo). [{Yankelovich et al, "Intermedia: The Concept and the Construction of a Seamless Information Environment" (http://research.microsoft.com/en-us/um/people/sdrucker/papers/intermedia1.pdf)}] {(http://elab.eserver.org/hfl0032.html)}. (2014-11-02)

International Organization for Standardization "standard, body" (ISO) A voluntary, nontreaty organisation founded in 1946, responsible for creating international {standards} in many areas, including computers and communications. Its members are the national standards organisations of 89 countries, including the {American National Standards Institute}. ISO produced the {OSI} seven layer model for network architecture. The term "ISO" is not actually an acronym for anything. It is a pun on the Greek prefix "iso-", meaning "same". Some ISO documents say ISO is not an acronym even though it is an anagram of the initials of the organisation's name. {(http://iso.ch/)}. (1999-06-22)

Internet Architecture Board (IAB) The technical body that oversees the development of the {Internet} suite of {protocols}. It has two task forces: the {Internet Engineering Task Force} and the {Internet Research Task Force}. "IAB" previously stood for Internet Activities Board. (1994-12-06)

Internet Engineering Task Force "networking, standard, body" (IETF) The IETF is a large, open international community of network designers, operators, vendors and researchers whose purpose is to coordinate the operation, management and evolution of the {Internet} and to resolve short- and mid-range {protocol} and architectural issues. It is a major source of proposals for {protocol} {standards} which are submitted to the {Internet Architecture Board} (IAB) for final approval. The IETF meets three times a year and extensive minutes are included in the IETF Proceedings. The IETF Secretariat, run by The {Corporation for National Research Initiatives} with funding from the US government, maintains an index of {Internet-Drafts} whereas {RFCs} are maintained by The {Internet Architecture Board}. {(http://ietf.org)}. (1999-01-27)

Internet "networking" 1. With a lower-case "i", any set of {networks} interconnected with {routers}. 2. With an upper-case "I", the world's collection of interconnected networks. The Internet is a three-level {hierarchy} composed of {backbone networks}, {mid-level networks}, and {stub networks}. These include commercial (.com or .co), university (.ac or .edu) and other research networks (.org, .net) and military (.mil) networks and span many different physical networks around the world with various {protocols}, chiefly the {Internet Protocol}. Until the advent of the {web} in 1990, the Internet was almost entirely unknown outside universities and corporate research departments and was accessed mostly via {command line} interfaces such as {telnet} and {FTP}. Since then it has grown to become a ubiquitous aspect of modern information systems, becoming highly commercial and a widely accepted medium for all sort of customer relations such as advertising, brand building and online sales and services. Its original spirit of cooperation and freedom have, to a great extent, survived this explosive transformation with the result that the vast majority of information available on the Internet is free of charge. While the web (primarily in the form of {HTML} and {HTTP}) is the best known aspect of the Internet, there are many other {protocols} in use, supporting applications such as {electronic mail}, {chat}, {remote login} and {file transfer}. There were 20,242 unique commercial domains registered with {InterNIC} in September 1994, 10% more than in August 1994. In 1996 there were over 100 {Internet access providers} in the US and a few in the UK (e.g. the {BBC Networking Club}, {Demon}, {PIPEX}). There are several bodies associated with the running of the Internet, including the {Internet Architecture Board}, the {Internet Assigned Numbers Authority}, the {Internet Engineering and Planning Group}, {Internet Engineering Steering Group}, and the {Internet Society}. See also {NYsernet}, {EUNet}. {The Internet Index (http://openmarket.com/intindex)} - statistics about the Internet. (2015-03-26)

Internet Research Task Force (IRTF) The IRTF is chartered by the {Internet Architecture Board} to consider long-term {Internet} issues from a theoretical point of view. It has Research Groups, similar to {Internet Engineering Task Force} Working Groups, which are each tasked to discuss different research topics. Multi-cast audio/video conferencing and {privacy enhanced mail} are samples of IRTF output. (1994-12-08)

ionic ::: a. --> Of or pertaining to Ionia or the Ionians.
Pertaining to the Ionic order of architecture, one of the three orders invented by the Greeks, and one of the five recognized by the Italian writers of the sixteenth century. Its distinguishing feature is a capital with spiral volutes. See Illust. of Capital.
Of or pertaining to an ion; composed of ions. ::: n.


Irvine Dataflow "language" (Always called "Id") A non-{strict}, {single assignment} language and {incremental compiler} developed by Arvind and Gostelow and used on {MIT}'s {Tagged-Token Dataflow Architecture} and planned to be used on {Motorola}'s {Monsoon}. See also {Id Nouveau}. ["An Asynchronous Programming Language for a Large Multiprocessor Machine", Arvind et al, TR114a, Dept ISC, UC Irvine, Dec 1978]. ["The U-Interpreter", Arvind et al, Computer 15(2):42-50, 1982]. (1998-02-14)

ISA 1. "architecture" {Integrated Systems Architecture}. 2. "body" {International Smalltalk Association}. 3. "architecture" {instruction set architecture}. 4. "architecture" {Industry Standard Architecture}. (1997-02-13)

ISA bus {Industry Standard Architecture}

ISO 8613 {Open Document Architecture}

jacobian ::: a. --> Of or pertaining to a style of architecture and decoration in the time of James the First, of England.

JavaBeans "programming" A {component architecture} for the {Java} programming language, developed initially by {Sun}, but now available from several other vendors. JavaBeans components are called "{beans}". JavaBeans allows developers to create reusable software components that can then be assembled together using visual application builder tools including {Sybase}'s {PowerJ}, {Borland}'s {JBuilder}, {IBM}'s {Visual Age for Java}, {SunSoft}'s {Java Workshop} and {Symantec}'s {Visual Cafe}. JavaBeans support Introspection (a builder tool can analyze how a Bean works), Customisation (developers can customise the appearance and behaviour of a Bean), Events (Beans can communicate), Properties (developers can customise and program with Beans(?)) and Persistence (customised Beans can be stored and reused). {(http://javasoft.com/beans/)}. (1997-11-20)

Java "programming, language" An {object-oriented}, {distributed}, {interpreted}, {architecture-neutral}, {portable}, {multithreaded}, dynamic, buzzword-compliant, general-purpose programming language developed by {Sun Microsystems} in the early 1990s (initially for set-top television controllers) and released to the public in 1995. Java was named after the Indonesian island, a source of {programming fluid}. Java first became popular as the earliest portable dynamic client-side content for the {web} in the form of {platform}-independent {Java applets}. In the late 1990s and into the 2000s it also became very popular on the server side, where an entire set of {APIs} defines the {J2EE}. Java is both a set of public specifications (controlled by {Oracle}, who bought {Sun Microsystems}, through the {JCP}) and a series of implementations of those specifications. Java is syntactially similar to {C++} without user-definable {operator overloading}, (though it does have {method} overloading), without {multiple inheritance} and extensive automatic {coercions}. It has automatic {garbage collection}. Java extends {C++}'s {object-oriented} facilities with those of {Objective C} for {dynamic method resolution}. Whereas programs in C++ and similar languages are compiled and linked to platform-specific binary executables, Java programs are typically compiled to portable {architecture-neutral} {bytecode} ".class" files, which are run using a {Java Virtual Machine}. The JVM is also called an {interpreter}, though it is more correct to say that it uses {Just-In-Time Compilation} to convert the {bytecode} into {native} {machine code}, yielding greater efficiency than most interpreted languages, rivalling C++ for many long-running, non-GUI applications. The run-time system is typically written in {POSIX}-compliant {ANSI C} or {C++}. Some implementations allow Java class files to be translated into {native} {machine code} during or after compilation. The Java compiler and {linker} both enforce {strong type checking} - procedures must be explicitly typed. Java aids in the creation of {virus}-free, tamper-free systems with {authentication} based on {public-key encryption}. Java has an extensive library of routines for all kinds of programming tasks, rivalling that of other languages. For example, the {java.net} package supports {TCP/IP} {protocols} like {HTTP} and {FTP}. Java applications can access objects across the {Internet} via {URLs} almost as easily as on the local {file system}. There are also capabilities for several types of distributed applications. The Java {GUI} libraries provide portable interfaces. For example, there is an abstract {Window} class with implementations for {Unix}, {Microsoft Windows} and the {Macintosh}. The {java.awt} and {javax.swing} classes can be used either in web-based {Applets} or in {client-side applications} or {desktop applications}. There are also packages for developing {XML} applications, {web services}, {servlets} and other web applications, {security}, date and time calculations and I/O formatting, database ({JDBC}), and many others. Java is not related to {JavaScript} despite the name. {(http://oracle.com/java)}. (2011-08-21)

Java Virtual Machine "language, architecture" (JVM) A specification for software which interprets {Java} programs that have been compiled into {byte-codes}, and usually stored in a ".class" file. The JVM {instruction set} is {stack}-oriented, with variable instruction length. Unlike some other instruction sets, the JVM's supports {object-oriented} programming directly by including instructions for object {method} invocation (similar to {subroutine} call in other instruction sets). The JVM itself is written in {C} and so can be {ported} to run on most {platforms}. It needs {thread} support and {I/O} (for {dynamic class loading}). The Java byte-code is independent of the platform. There are also some hardware implementations of the JVM. {Specification (http://javasoft.com/docs/books/vmspec/html/VMSpecTOC.doc.html)}. {Sun's Java chip (http://news.com/News/Item/0,4,9328,00.html)}. [Documentation? Versions?] (2000-01-03)

John von Neumann "person" /jon von noy'mahn/ Born 1903-12-28, died 1957-02-08. A Hungarian-born mathematician who did pioneering work in quantum physics, game theory, and {computer science}. He contributed to the USA's Manhattan Project that built the first atomic bomb. von Neumann was invited to Princeton University in 1930, and was a mathematics professor at the {Institute for Advanced Studies} from its formation in 1933 until his death. From 1936 to 1938 {Alan Turing} was a visitor at the Institute and completed a Ph.D. dissertation under von Neumann's supervision. This visit occurred shortly after Turing's publication of his 1934 paper "On Computable Numbers with an Application to the Entscheidungs-problem" which involved the concepts of logical design and the universal machine. von Neumann must have known of Turing's ideas but it is not clear whether he applied them to the design of the IAS Machine ten years later. While serving on the BRL Scientific Advisory Committee, von Neumann joined the developers of {ENIAC} and made some critical contributions. In 1947, while working on the design for the successor machine, {EDVAC}, von Neumann realized that ENIAC's lack of a centralized control unit could be overcome to obtain a rudimentary stored program computer. He also proposed the {fetch-execute cycle}. His ideas led to what is now often called the {von Neumann architecture}. {(http://sis.pitt.edu/~mbsclass/is2000/hall_of_fame/vonneuma.htm)}. {(http://ei.cs.vt.edu/~history/VonNeumann.html)}. {(http://ftp.arl.mil/~mike/comphist/54nord/)}. (2004-01-14)

Joint Academic NETwork (JANET) The {wide area network} which links UK academic and research institutes. JANET is controlled by the {Joint Network Team} (JNT) and Network Executive (NE). It is an {internet} (a large number of interconnected sub-networks) that provides connectivity within the community as well as access to external services and other communities. The {hub} is the JANET subnetwork, a private {X.25} {packet-switched} network that interconnects over 100 sites. At the majority of sites, {local area networks} (LANs) are connected to JANET allowing off-site access for the computers and terminals connected to these networks. The {Coloured Book} {protocol} architecture is used to support interactive terminal access to computers (for both character terminals and screen terminals), inter-host file transfers, {electronic mail} and remote {batch} job submission. {(http://nic.ja.net/)}. See also {JIPS}, {SuperJanet}. (1995-02-07)

Joint Test Action Group "architecture, body, electronics, integrated circuit, standard, testing" (JTAG, or "IEEE Standard 1149.1") A {standard} specifying how to control and monitor the pins of compliant devices on a {printed circuit board}. Each device has four JTAG control lines. There is a common reset (TRST) and clock (TCLK). The data line {daisy chains} one device's test data out (TDO) pin to the test data in (TDI) pin on the next device. The {protocol} contains commands to read and set the values of the pins (and, optionally {internal registers}) of devices. This is called "{boundary scanning}". The protocol makes board testing easier as signals that are not visible at the board connector may be read and set. The protocol also allows the testing of equipment, connected to the JTAG port, to identify components on the board (by reading the device identification register) and to control and monitor the device's outputs. JTAG is not used during normal operation of a board. {JTAG Technologies B.V. (http://jtag.com/)}. {Boundary Scan/JTAG Technical Information - Xilinx, Inc. (http://xilinx.com/support/techsup/journals/jtag/)}. {Java API for Boundary Scan FAQs - Xilinx Inc. (http://xilinx.com/products/software/sx/sxfaqs.htm)}. {JTAG Boundary-Scan Test Products - Corelis, Inc. (http://corelis.com/products/scanovrv.html)}. {"Logic analyzers stamping out bugs at the cutting edge", EDN Access, 1997-04-10 (http://ednmag.com/ednmag/reg/1997/041097/08df_02.htm)}. {IEEE 1149.1 Device Architecture - Boundary-Scan Tutorial from ASSET InterTech, Inc. (http://asset-intertech.com/tutorial/arch.htm)}. {"Application-Specific Integrated Circuits", Michael John Sebatian Smith, published Addison-Wesley - Design Automation Cafe (http://dacafe.com/DACafe/EDATools/EDAbooks/ASIC/Book/CH14/CH14.2.htm)}. {Software Debug options on ASIC cores - Embedded Systems Programming Archive (http://embedded.com/97/feat9701.htm)}. {Designing for On-Board Programming Using the IEEE 1149.1 (JTAG) Access Port - Intel (http://developer.intel.com/design/flcomp/applnots/292186.htm)}. {Built-In Self-Test Using Boundary Scan by Texas Instruments - EDTN Network (http://edtn.com/scribe/reference/appnotes/md003e9a.htm)}. (1999-11-15)

Kali A {data parallel} language. ["Supporting Shared Data Structures on Distributed Memory Architectures", C. Koelbel et al in Second ACM SIGPLAN Symp on Princ and Prac of Parallel Programming, pp.177-186, Mar 1990]. (1994-11-09)

killer micro [Popularised by Eugene Brooks] A {microprocessor}-based machine that infringes on mini, mainframe, or supercomputer performance turf. Often heard in "No one will survive the attack of the killer micros!", the battle cry of the downsizers. Used especially of {RISC} architectures. The popularity of the phrase "attack of the killer micros" is doubtless reinforced by the movie title "Attack Of The Killer Tomatoes" (one of the {canonical} examples of so-bad-it's-wonderful among hackers). This has even more flavour now that killer micros have gone on the offensive not just individually (in workstations) but in hordes (within {massively parallel computers}). [{Jargon File}]

Knowledge Systems Laboratory (KSL) An {artificial intelligence} research laboratory within the Department of Computer Science at {Stanford University}. Current work focuses on {knowledge representation} for sharable engineering knowledge bases and systems, computational environments for modelling physical devices, architectures for adaptive intelligent systems, and {expert systems} for science and engineering. (1994-12-06)

lantern ::: n. --> Something inclosing a light, and protecting it from wind, rain, etc. ; -- sometimes portable, as a closed vessel or case of horn, perforated tin, glass, oiled paper, or other material, having a lamp or candle within; sometimes fixed, as the glazed inclosure of a street light, or of a lighthouse light.
An open structure of light material set upon a roof, to give light and air to the interior.
A cage or open chamber of rich architecture, open below


Lean An experimental language from the {University of Nijmegen} and {University of East Anglia}, based on graph rewriting and useful as an intermediate language. Lean is descended from {Dactl0}. {Clean} is a subset of Lean. ["Towards an Intermediate Language Based on Graph Rewriting", H.P. Barendregt et al in PARLE: Parallel Architectures and Languages Europe, G. Goos ed, LNCS 259, Springer 1987, pp.159-175]. (1995-01-25)

linear address space A memory addressing scheme used in processors where the whole memory can be accessed using a single address that fits in a single register or instruction. This contrasts with a {segmented memory} architecture, such as that used on the {Intel 8086}, where an address is given by an offset from a base address held in one of the "segment registers". Linear addressing greatly simplifies programming at the {assembly language} level but requires more instruction word bits to be allocated for an address. (1995-02-16)

link farm "file system, Unix" A directory tree that contains mostly {symbolic links} to files in a master directory tree of files. Link farms save space when one is maintaining several nearly identical copies of the same source tree - for example, when the only difference is architecture-dependent object files. They also mean that changes to the master tree are instantly visible in the link farm. Good {text editors} provide the option to replace a link with a new version of the target file when saving thus allowing the farm to have its own versions of just those files that differ from the master tree. E.g. "Let's freeze the source and then rebuild the FROBOZZ-3 and FROBOZZ-4 link farms." Link farms may also be used to get around restrictions on the number of "-I" (include-file directory) arguments on older C preprocessors. However, they can also get completely out of hand, becoming the file system equivalent of {spaghetti code}. [{Jargon File}] (2001-02-08)

LISA 1. "computer" Local Integrated Software Architecture. A {personal computer} introduced by {Apple Computer, Inc.} in 1983. The LISA was a predecessor of the {Macintosh} and was the first personal computer on the market with a {graphical user interface}. It was origionally named after {Steve Jobs}'s daughter. The acronym was applied later. [Spec?] 2. "tool" A system for statistical data analysis, similar to {S}. {FTP MIT (ftp://dolphin.mit.edu/)}. 3. "event" {Large Installation Systems Administration}. (2001-10-16)

Lisp Machine 1. "architecture" Any {machine} (whether notional or actual) whose instruction set is {Lisp}. 2. "hardware, operating system" A line of {workstations} made by {Symbolics, Inc.} from the mid-1970s (having grown out of the {MIT AI Lab}) to late 1980s. All system code for Symbolics Lisp Machines was written in {Lisp Machine Lisp}. Symbolics Lisp Machines were also notable for having had {space-cadet keyboards}. [More details and historical background?] {Lisp Machine Museum (http://kogs-www.informatik.uni-hamburg.de/~moeller/symbolics-info/symbolics.html)}. (2003-07-03)

lithic ::: a. --> Of or pertaining to stone; as, lithic architecture.
Pertaining to the formation of uric-acid concretions (stone) in the bladder and other parts of the body; as, lithic diathesis.
Pertaining to or denoting lithium or some of its compounds. ::: n.


little-endian "data, architecture" A computer architecture in which, within a given 16- or 32-bit {word}, bytes at lower addresses have lower significance (the word is stored "little-end-first"). The {PDP-11} and {VAX} families of computers and {Intel} {microprocessors} and a lot of communications and networking hardware are little-endian. The term is sometimes used to describe the ordering of units other than bytes; most often, bits within a byte. Compare {big-endian}, {middle-endian}. See {NUXI problem}. [{Jargon File}] (1995-08-16)

locality 1. In sequential architectures programs tend to access data that has been accessed recently (temporal locality) or that is at an address near recently referenced data (spatial locality). This is the basis for the speed-up obtained with a {cache} memory. 2. In a multi-processor architecture with distributed memory it takes longer to access the memory attached to a different processor. This overhead increases with the number of communicating processors. Thus to efficiently employ many processors on a problem we must increase the proportion of references which are to local memory. (1995-02-28)

lonis quatorze ::: --> Of, pertaining to, or resembling, the art or style of the times of Louis XIV. of France; as, Louis quatorze architecture.

loose bytes Commonwealth hackish term for the padding bytes or {shims} many compilers insert between members of a {record} or {structure} to cope with alignment requirements imposed by the machine architecture.

machine cycle "processor" The four steps which the {CPU} carries out for each {machine language} instruction: fetch, decode, execute, and store. These steps are performed by the {control unit}, and may be fixed in the logic of the CPU or may be programmed as {microcode} which is itself usually fixed (in {ROM}) but may be (partially) modifiable (stored in {RAM}). The fetch cycle places the current {program counter} contents (the address of the next instruction to execute) on the {address bus} and reads in the word at that location into the {instruction register} (IR). In {RISC} CPUs instructions are usually a single word but in other architectures an instruction may be several words long, necessitating several fetches. The decode cycle uses the contents of the IR to determine which {gates} should be opened between the CPU's various {functional units} and busses and what operation the {ALU}(s) should perform (e.g. add, {bitwise and}). Each gate allows data to flow from one unit to another (e.g. from {register} 0 to ALU input 1) or enables data from one output onto a certain {bus}. In the simplest case ("{horizontal encoding}") each bit of the instruction register controls a single gate or several bits may control the ALU operation. This is rarely used because it requires long instruction words (such an architecture is sometimes called a {very long instruction word} architecture). Commonly, groups of bits from the IR are fed through {decoders} to control higher level aspects of the CPU's operation, e.g. source and destination registers, {addressing mode} and {ALU} operation. This is known as {vertical encoding}. One way {RISC} processors gain their advantage in speed is by having simple instruction decoding which can be performed quickly. The execute cycle occurs when the decoding logic has settled and entails the passing of values between the various function units and busses and the operation of the ALU. A simple instruction will require only a single execute cycle whereas a complex instruction (e.g. subroutine call or one using memory {indirect addressing}) may require three or four. Instructions in a RISC typically (but not invariably) take only a single cycle. The store cycle is when the result of the instruction is written to its destination, either a {register} or a memory location. This is really part of the execute cycle because some instructions may write to multiple destinations as part of their execution. (1995-04-13)

main memory "storage, architecture" The {storage device} used by a {computer} to hold the currently executing {program} and its {working data}. A modern computer's main memory is built from {random-access memory} {integrated circuits}. In the old days {ferrite core memory} was one popular form of main memory, leading to the use of the term "{core}" for main memory. Computers have several other sorts of memory, distinguished by their access time, storage capicity, cost, and the typical lifetime or rate of change of the data they hold. {Registers} in the {CPU} are fast, few, expensive and typically change every few {machine instructions}. Other kinds are {cache}, {PROM}, {magnetic disk} (which may be used for {virtual memory}) and {magnetic tape}. (1996-11-04)

Many Integrated Core Architecture "architecture" (MIC) Intel's name for {integrated circuits} with around 50 {processing cores} on a single chip, fabricated with a 22-{nanometer} IC manufacturing process. The {Intel Xeon Phi} coprocessor, the first product based on Intel MIC Architecture, is targeted at {high-performance computing} applications. {intel.com (http://www.intel.com/content/www/us/en/architecture-and-technology/many-integrated-core/intel-many-integrated-core-architecture.html)}. (2014-10-14)

Margaret Hamilton "person" (born 1936-08-17) A {computer scientist}, {systems engineer} and business owner, credited with coining the term {software engineering}. Margaret Hamilton published over 130 papers, proceedings and reports about the 60 projects and six major programs in which she has been involved. In 1965 she became Director of Software Programming at MIT's {Charles Stark Draper Laboratory} and Director of the Software Engineering Division of the {MIT Instrumentation Laboratory}, which developed on-board {flight software} for the Apollo space program. At {NASA}, Hamilton pioneered the Apollo on-board guidance software that navigated to and landed on the Moon and formed the basis for software used in later missions. At the time, programming was a hands-on, engineering descipline; computer science and software engineering barely existed. Hamilton produced innovations in {system design} and software development, enterprise and {process modelling}, development paradigms, {formal systems modelling languages}, system-oriented objects for systems modelling and development, {automated life-cycle environments}, {software reliability}, {software reuse}, {domain analysis}, correctness by built-in language properties, open architecture techniques for robust systems, full {life-cycle automation}, {quality assurance}, {seamless integration}, {error detection and recovery}, {man-machine interface} systems, {operating systems}, {end-to-end testing} and {life-cycle management}. She developed concepts of {asynchronous software}, {priority scheduling} and {Human-in-the-loop} decision capability, which became the foundation for modern, ultra-reliable software design. The Apollo 11 moon landing would have aborted when spurious data threatened to overload the computer, but thanks to the innovative asynchronous, priority based scheduling, it eliminated the unnecessary processing and completed the landing successfully. In 1986, she founded {Hamilton Technologies, Inc.}, developed around the {Universal Systems Language} and her systems and software design {paradigm} of {Development Before the Fact} (DBTF). (2015-03-08)

MCA {Micro Channel Architecture}

mediaeval ::: a. --> Of or relating to the Middle Ages; as, mediaeval architecture.

MELDC A {reflective} {object-oriented} {concurrent} programming language developed in 1990 by the MELD Project of the Programming Systems Laboratory at {Columbia University}. MELDC is a redesign of {MELD} based on {C}. The core of the architecture is a {micro-kernel} (the MELDC kernel), which encapsulates a minimum set of entities that cannot be modelled as objects. All components outside of the kernel are implemented as objects in MELDC itself and are modularised in the MELDC libraries. MELDC is reflective in three dimensions: structural, computational and architectural. The structural reflection indicates that classes and meta-classes are objects, which are written in MELDC. The computational reflection means that object behaviours can be computed and extended at run time. The architectural reflection indicates that new features/properties (e.g. persistency and remoteness) can be constructed in MELDC. Version 2.0 runs on {Sun-4}/{SunOS} 4.1 and {DECstation} and {MIPS}/{Ultrix} 4.2. E-mail: Gail Kaiser "meldc@cs.columbia.edu". MELDC is available under licence from "MeldC@cs.columbia.edu" and may not be used for commercial purposes. (1992-12-15)

memo function "programming" (Or "memoised function") A {function} that remembers which {arguments} it has been called with and the result returned and, if called with the same arguments again, returns the result from its memory rather than recalculating it. Memo functions were invented by Professor {Donald Michie} of {Edinburgh University}. The idea was further developed by {Robin Popplestone} in his {Pop2} language long before it was ever worked into LISP. This same principle is found at the hardware level in computer architectures which use a {cache} to store recently accessed memory locations. A {Common Lisp} package by Marty Hall "hall@aplcenmp.apl.jhu.edu" {(ftp://archive.cs.umbc.edu/pub/Memoization)}. ["'Memo' functions: and machine learning", Donald Michie, Nature, 218, 19-22, 1968]. (2002-07-02)

memory address space "architecture" 1. Any part of a {processor}'s {address space} that is occupied by {memory}. 2. The range of addresses seen by a memory device relative to the base address at which it is mapped into the processor's address space. (1999-11-01)

Memory Management Unit "hardware, memory management" (MMU, "Paged Memory Management Unit", PMMU) A {hardware} device or circuit that supports {virtual memory} and {paging} by translating {virtual addresses} into {physical addresses}. The virtual {address space} (the range of addresses used by the processor) is divided into {pages}, whose size is 2^N, usually a few {kilobytes}. The bottom N {bits} of the address (the offset within a page) are left unchanged. The upper address bits are the (virtual) {page number}. The MMU contains a {page table} which is indexed (possibly associatively) by the page number. Each page table entry (PTE) gives the physical page number corresponding to the virtual one. This is combined with the page offset to give the complete physical address. A PTE may also include information about whether the page has been written to, when it was last used (for a {least recently used} {replacement algorithm}), what kind of processes ({user mode}, {supervisor mode}) may read and write it, and whether it should be {cache}d. It is possible that no physical memory ({RAM}) has been allocated to a given virtual page, in which case the MMU will signal a "{page fault}" to the {CPU}. The {operating system} will then try to find a spare page of RAM and set up a new PTE to map it to the requested virtual address. If no RAM is free it may be necessary to choose an existing page, using some {replacement algorithm}, and save it to disk (this is known as "{paging}"). There may also be a shortage of PTEs, in which case the OS will have to free one for the new mapping. In a {multitasking} system all processes compete for the use of memory and of the MMU. Some {memory management} architectures allow each process to have its own area or configuration of the page table, with a mechanism to switch between different mappings on a process switch. This means that all processes can have the same virtual address space rather than require load-time relocation. An MMU also solves the problem of {fragmentation} of memory. After blocks of memory have been allocated and freed, the free memory may become fragmented (discontinuous) so that the largest contiguous block of free memory may be much smaller than the total amount. With {virtual memory}, a contiguous range of virtual addresses can be mapped to several non-contiguous blocks of physical memory. In early designs memory management was performed by a separate {integrated circuit} such as the {MC 68851} used with the {Motorola 68020} {CPU} in the {Macintosh II} or the {Z8015} used with the {Zilog Z80} family of processors. Later CPUs such as the {Motorola 68030} and the {ZILOG Z280} have MMUs on the same IC as the CPU. (1999-05-24)

memory mapped I/O "architecture" The use of the same instructions and {bus} to communicate with both {main memory} and {input/output} devices. This is in contrast to processors that have a separate I/O {bus} and special instructions to access it. The I/O devices are addressed at certain reserved address ranges on the main memory bus. These addresses cannot therefore be used for {RAM}. {Motorola} and {Mostec} architectures, among others, use memory mapped I/O. {Video cards} and other cards with on-board memory might be accessed in this way though the term applies not just to devices containing memory but to any device connected to the memory bus. Accessing the devices usually consists of reading and writing certain built-in {registers} though sometimes the mere presence of a particular address can trigger the device. (1997-04-14)

Memory Type Range Registers "architecture, video" (MTRR) Registers in the {Pentium Pro} and {Pentium II} processors that can be used to specify a strategy for communication with the external memory and {caches} for a number of {physical address} ranges. Strategies include {write-through}, {write-back}, or uncached(?). Such control is useful where the memory is located on a device and is accessed via some kind of device bus, e.g. a {PCI} or {AGP} {graphics card}, where caching would be of no benefit. (1999-07-02)

Mentat "language" (After the human computers in Frank Herbert's SF classic, "Dune") An {object-oriented} distributed language developed at the {University of Virginia} some time before Dec 1987. Mentat is an extension of {C++} and is portable to a variety of {MIMD} architectures. By 1994 Mentat was available for {Sun-3}, {Sun-4}, {iPSC}/2 with plans for {Mach}, {iPSC860}, {RS/6000} and {Iris}. The language is now (May 1998) supported in a new project, {Legion}. E-mail: "mentat@uvacs.cs.virginia.edu". ["Mentat: An Object-Oriented Macro Data Flow System", A. Grimshaw "grimshaw@cs.virginia.edu" et al, SIGPLAN Notices 22(12):35-47, Dec 1987, OOPSLA '87]. (1998-05-15)

Message Passing Interface "communications, protocol" A {de facto standard} for communication among the {nodes} running a {parallel program} on a {distributed memory system}. MPI is a {library} of {routines} that can be called from {Fortran} and{ C} programs. MPI's advantage over older message passing libraries is that it is both {portable} (because MPI has been implemented for almost every distributed memory {architecture}) and fast (because each implementation is {optimised} for the {hardware} it runs on). [Address?] (1997-06-09)

Messaging Application Programming Interface "messaging" (MAPI) A messaging architecture and a {client} interface component for applications such as {electronic mail}, scheduling, calendaring and document management. As a messaging architecture, MAPI provides a consistent interface for multiple {application programs} to interact with multiple messaging systems across a variety of {hardware} {platforms}. MAPI provides better performance and control than {Simple MAPI}, {Common Messaging Calls} (CMC) or the {Active Messaging Library}. It has a comprehensive, open, dual-purpose interface, integrated with {Microsoft Windows}. MAPI can be used by all levels and types of client application and "service providers" - driver-like components that provide a MAPI interface to a specific messaging system. For example, a {word processor} can send documents and a {workgroup} application can share and store different types of data using MAPI. MAPI separates the programming interfaces used by the client applications and the service providers. Every component works with a common, {Microsoft Windows}-based user interface. For example, a single messaging client application can be used to receive messages from {fax}, a {bulletin board} system, a host-based messaging system and a {LAN}-based system. Messages from all of these systems can be delivered to a single "universal Inbox". MAPI is aimed at the powerful, new market of workgroup applications that communicate with such different messaging systems as fax, {DEC} {All-In-1}, {voice mail} and public communications services such as {AT&T} Easylink Services, {CompuServe} and {MCI} MAIL. Because workgroup applications demand more of their messaging systems, MAPI offers much more than basic messaging in the programming interface and supports more than {local area network} (LAN)-based messaging systems. Applications can, for example, format text for a single message with a variety of fonts and present to their users a customised view of messages that have been filtered, sorted or preprocessed. MAPI is built into {Windows 95} and {Windows NT} and can be used by 16-bit and 32-bit Windows applications. The programming interface and subsystem contained in the MAPI {DLL} provide objects which conform to the {Component Object Model}. MAPI includes standard messaging client applications that demonstrate different levels of messaging support. MAPI provides cross platform support through such industry standards as {SMTP}, {X.400} and Common Messaging Calls. MAPI is the messaging component of {Windows Open Services Architecture} (WOSA). [Correct expansion? Relatonship with Microsoft?] (1997-12-03)

MIC {Many Integrated Core Architecture}

Micro Channel Architecture "architecture" (MCA) {IBM}'s proprietary 32-bit {bus}, used in high-end {PS/2} {personal computers}. Micro Channel is designed for {multiprocessing}. It eliminates potential conflicts that arise when installing new peripheral devices. MCA is *not* compatible with either {EISA} or {XT bus architecture} so older cards cannot be used with it. As with the {ROM} {BIOS} in the first {IBM PCs}, figuring out the Micro Channel's secrets has been an arduous task of {reverse engineering} ever since the PS/2 line was announced. Consequently, the MCA has never become as wide spread as the competing {EISA} standard. (1996-08-16)

microcode "programming" A technique for implementing the {instruction set} of a processor as a sequence of microcode instructions ("microinstructions"), each of which typically consists of a (large) number of bit fields and the address of the next microinstruction to execute. Each bit field controls some specific part of the processor's operation, such as a gate which allows some {functional unit} to drive a value onto the {bus} or the operation to be performed by the {ALU}. Several microinstructions will usually be required to fetch, decode and execute each {machine code} instruction ("{macroinstruction}"). The microcode may also be responsible for {polling} for hardware {interrupts} between each macroinstruction. Writing microcode is known as "microprogramming". Microcode may be classified as "horizontally encoded" or "vertically encoded". Horizontal microcode is as described above where there is a fairly direct correspondence between the bit fields in a microinstruction and the control signals sent to the various parts of the CPU. Not all combinations of bits will be valid (e.g. two units driving the bus at once). Vertical microcode is closer to {machine code} because a bit field value may pass through some intermediate combinatory logic which generates the actual control signals. This allows a few bits of a microinstruction to determine several control signals and ensure that only valid combinations of those signals are generated (e.g. a field may be decoded to determine which unit drives the bus). The disadvantage with vertical encoding is that the encoding is usually fixed and takes extra time compared with horizontal encoding which allows any combination of signals to be generated and takes no time to decode. The alternative to a microcoded processor is a {hard-wired} one where the control signals are generated directly from the bits of the {machine code} instruction. This is more common in modern {RISC} architectures because it is faster. Microcode is usually stored in {ROM} chips though some processors (e.g. the {Orion}) use fast RAM, making them dynamically microprogrammable. (1996-11-26)

Microelectronics and Computer Technology Corporation "body" (MCC) One of the first, and now one of the largest, US computer industry research and development consortia. Founded in late 1982 by major computer and semiconductor manufacturers, MCC's membership has diversified to include a broad range of high-profile corporations from electronics, computers, aerospace, semiconductors, and related industries, reflecting the full range of companies vital to the life cycle of {Information Technology} products. Active involvement of small- and medium-sized firms and technology users, along with well-established alliances with government research and development agencies and leading universities, allows MCC's partners to maximise the benefit of scarce research and development resources. Some of the technical areas in which MCC has distinguished itself are: System Architecture and Design (optimise hardware and software design, provide for scalability and interoperability, allow rapid prototyping for improved time-to-market, and support the re-engineering of existing systems for open systems). Advanced Microelectronics Packaging and Interconnection (smaller, faster, more powerful, and cost-competitive). Hardware Systems Engineering (tools and methodologies for cost-efficient, up-front design of advanced electronic systems, including modelling and design-for-test techniques to improve cost, yield, quality, and time-to-market). Environmentally Conscious Technologies (process control and optimisation tools, information management and analysis capabilities, and non-hazardous material alternatives supporting cost-efficient production, waste minimisation, and reduced environmental impact). Distributed {Information Technology} (managing and maintaining physically distributed corporate information resources on different {platforms}, building blocks for the {national information infrastructure}, networking tools and services for integration within and between companies, and electronic commerce). Intelligent Systems (systems that "intelligently" support business processes and enhance performance, including {decision support}, {data management}, forecasting and prediction). {(http://mcc.com/)}. Address: Austin, Texas, USA. (1995-04-25)

microlog "architecture" A section of {non-volitile memory} used to record state information. Often used for retaining {crash} information after a {reboot} in {embedded systems}. ["before" a reboot?] (2004-02-24)

microprocessor "architecture" (Or "micro") A computer whose entire {CPU} is contained on one (or a small number of) {integrated circuits}. The important characteristics of a microprocessor are the widths of its internal and external {address bus} and {data bus} (and instruction), its {clock rate} and its {instruction set}. Processors are also often classified as either {RISC} or {CISC}. The first commercial microprocessor was the {Intel 4004} which appeared in 1971. This was the CPU member of a set of four {LSI} {integrated circuits} called the MCS-4, which was originally designed for use in a calculator but was marketed as "programmable controller for logic replacement". The 4004 is referred to as a 4-bit microprocessor since it processed only 4 bits of data at a time. This very short word size is due mainly to the limitations imposed by the maximum integrated circuit density then achievable. As integrated circuit densities increased with the rapid development of integrated circuit manufacturing technology, the power and performance of the microprocessors also increased. This is reflected in the increase in the CPU word size to 4, 8, 16, and by mid-1980s, 32 bits. The smaller microprocessors have relatively simple {instruction sets}, e.g., no {floating point} instructions, but they are nevertheless suitable as controllers for a very wide range of applications such as car engines and microwave ovens. The {Intel 4004} was followed with, among others the {4040}, {8008}, {8080}, {8086}, {80186}, {80286}, {80386}, {486} and {Pentium}. Other families include the {Motorola} {6800} and {680x0} families, {National Semiconductor 16000} and {National Semiconductor 32000}, {SPARC}, {ARM}, {MIPS}, {Zilog Z8000}, {PowerPC} and the {Inmos} {Transputer} family. The larger, more recent microprocessors families have gradually acquired most of the features of large computers. As the microprocessor industry has matured, several families of microprocessors have evolved into de facto industrial standards with multiple manufacturers and numerous "support" chips including {RAM}, {ROM}, {I/O controllers} etc. A single chip microprocessor may include other components such as memory ({RAM}, {ROM}, {PROM}), {memory management}, {caches}, {floating-point unit}, input/output ports and timers. Such devices are also known as {microcontrollers}. The one-chip microcomputer is in many respects, a landmark development in computer technology because it reduces the computer to a small, inexpensive, and easily replaceable design component. Microcomputers have given rise to a new class of general-purpose machines called {personal computers}. These are small low cost computers that are designed to sit on an ordinary office desk or to be portable and fuelled the computer boom of the late 1980s. The most widespread example is the also {IBM PC}, based on microprocessors from {Intel Corporation}. {Apple Computers, Inc.} have also produced a range of personal computers, as have several other companies. See also {killer micro}, {minicomputer}, {CPU Info Center}. (2002-07-16)

middle-endian "data, architecture" Neither {big-endian} nor {little-endian}. Used of perverse byte orders such as 3-4-1-2 or 2-1-4-3, occasionally found in the {packed decimal} formats of some {minicomputer} manufacturers. See {-endian}. [{Jargon File}] (1998-08-09)

Miranda "language" (From the Latin for "admirable", also the heroine of Shakespeare's "Tempest") A {lazy} {purely functional} programming language and {interpreter} designed by {David Turner} of the University of Kent in the early 1980s and implemented as a product of his company, {Research Software Limited}. Miranda combines the main features of {KRC} and {SASL} with {strong typing} similar to that of {ML}. It features terse {syntax} using the {offside rule} for indentation. The {type} of an expression is inferred from the {source} by the {compiler} but explicit type declarations are also allowed. It has nested {pattern-matching}, {list comprehensions} and {modules}. It uses {operator sections} rather than {lambda abstractions}. User types are algebraic, and in early versions could be constrained by {laws}. It is implemented using {SKI combinator} {reduction}. Originally implemented for {Unix}, there are versions for most UNIX-like platforms including {Intel PC} under {Linux}. The {KAOS} operating system is written entirely in Miranda. There are translators from Miranda to {Haskell} {mira2hs (/pub/misc/mira2hs)} and to {LML} {mira2lml (/pub/misc/mira2lml)}. Non-commercial near-equivalents of Miranda include {Miracula} and {Orwell}. {(http://miranda.org.uk/)}. [{"Miranda: A Non Strict Functional Language with Polymorphic Types" (http://miranda.org.uk/nancy.html)}, D.A. Turner, in Functional Programming Languages and Computer Architecture, LNCS 201, Springer 1985]. [{"An Overview of Miranda" (http://miranda.org.uk/overview.pdf)}, D. A. Turner, SIGPLAN Notices, 21(12):158--166, December 1986]. ["Functional Programming with Miranda", Ian Holyer, Pitman Press 0-273-03453-7]. (2007-03-22)

moby "jargon" /moh'bee/ (From {MIT}, seems to have been in use among model railroad fans years ago. Derived from Melville's "Moby Dick", some say from "Moby Pickle") 1. Large, immense, complex, impressive. "A Saturn V rocket is a truly moby frob." "Some MIT undergrads pulled off a moby hack at the Harvard-Yale game." 2. (Obsolete) The maximum {address space} of a computer (see below). For a 680[234]0 or {VAX} or most modern 32-bit architectures, it is 4,294,967,296 8-bit bytes (four {gigabytes}). 3. A title of address (never of third-person reference), usually used to show admiration, respect, and/or friendliness to a competent hacker. "Greetings, moby Dave. How's that address-book thing for the Mac going?" 4. In backgammon, doubles on the dice, as in "moby sixes", "moby ones", etc. Compare this with {bignum}: double sixes are both bignums and moby sixes, but moby ones are not bignums (the use of "moby" to describe double ones is sarcastic). 5. The largest available unit of something which is available in discrete increments. Thus a "moby Coke" is not just large, it's the largest size on sale. This term entered hackerdom with the Fabritek 256K memory added to the MIT AI PDP-6 machine, which was considered unimaginably huge when it was installed in the 1960s (at a time when a more typical memory size for a {time-sharing} system was 72 kilobytes). Thus, a moby is classically 256K 36-bit words, the size of a PDP-6 or PDP-10 moby. Back when {address registers} were narrow the term was more generally useful, because when a computer had {virtual memory} mapping, it might actually have more physical memory attached to it than any one program could access directly. One could then say "This computer has six mobies" meaning that the ratio of physical memory to address space is six, without having to say specifically how much memory there actually is. That in turn implied that the computer could timeshare six "full-sized" programs without having to swap programs between memory and disk. Nowadays the low cost of processor logic means that address spaces are usually larger than the most physical memory you can cram onto a machine, so most systems have much *less* than one theoretical "native" moby of {core}. Also, more modern memory-management techniques (especially paging) make the "moby count" less significant. However, there is one series of widely-used chips for which the term could stand to be revived --- the Intel 8088 and 80286 with their incredibly {brain-damaged} segmented-memory designs. On these, a "moby" would be the 1-megabyte address span of a segment/offset pair (by coincidence, a PDP-10 moby was exactly one megabyte of nine-bit bytes). [{Jargon File}] (1997-10-01)

model 1. "simulation" A description of observed or predicted behaviour of some system, simplified by ignoring certain details. Models allow complex {systems}, both existent and merely specified, to be understood and their behaviour predicted. A model may give incorrect descriptions and predictions for situations outside the realm of its intended use. A model may be used as the basis for {simulation}. Note: British spelling: "modelling", US: "modeling". (2008-04-28) 2. "programming" The core part of a {Model-View-Controller} or similar {software architecture}; the part that stores the data and runs the {business rules} or {algorithms}. (2014-11-27)

Model-View-Controller "programming" (MVC) A way of partitioning the design of {interactive} {software}; a software {architecture pattern}. The "model" is the internal workings of the program (the data objects and {algorithms}), the "view" is how the user sees the state of the model and the "controller" is how the user changes the state or provides input. MVC was the original kind of what is now sometimes called an {MV*} pattern. Trygve Reenskaug introduced it into {Smalltalk-76} while visiting {Xerox PARC} in the 1970s. (2014-11-27)

MONSTR "language" A {term graph rewriting} language from {Manchester University}(?), designed to be easily implementable on distributed architectures and featuring limited synchronisation facilities. (1995-03-20)

Moore's Law "architecture" /morz law/ The observation, made in 1965 by {Intel} co-founder {Gordon Moore} while preparing a speech, that each new memory {integrated circuit} contained roughly twice as much capacity as its predecessor, and each chip was released within 18-24 months of the previous chip. If this trend continued, he reasoned, computing power would rise exponentially with time. Moore's observation still holds in 1997 and is the basis for many performance forecasts. In 24 years the number of {transistors} on processor chips has increased by a factor of almost 2400, from 2300 on the {Intel 4004} in 1971 to 5.5 million on the {Pentium Pro} in 1995 (doubling roughly every two years). Date   Chip   Transistors MIPS clock/MHz ----------------------------------------------- Nov 1971 4004   2300 0.06 0.108 Apr 1974 8080   6000 0.64 2 Jun 1978 8086   29000 0.75 10 Feb 1982 80286   134000 2.66 12 Oct 1985 386DX   275000 5 16 Apr 1989 80486   1200000 20 25 Mar 1993 Pentium   3100000 112 66 Nov 1995 Pentium Pro 5500000 428  200 ----------------------------------------------- Moore's Law has been (mis)interpreted to mean many things over the years. In particular, {microprocessor} performance has increased faster than the number of transistors per chip. The number of {MIPS} has, on average, doubled every 1.8 years for the past 25 years, or every 1.6 years for the last 10 years. While more recent processors have had wider {data paths}, which would correspond to an increase in transistor count, their performance has also increased due to increased {clock rates}. Chip density in transistors per unit area has increased less quickly - a factor of only 146 between the 4004 (12 mm^2) and the Pentium Pro (196 mm^2) (doubling every 3.3 years). {Feature size} has decreased from 10 to 0.35 microns which would give over 800 times as many transistors per unit. However, the automatic layout required to cope with the increased complexity is less efficient than the hand layout used for early processors. {(http://intel.com/intel/museum/25anniv/html/hof/moore.htm)}. {Intel Microprocessor Quick Reference Guide (http://intel.com/pressroom/no_frame/quickref.htm)}. {"Birth of a Chip", Linley Gwennap, Byte, Dec 1996 (http://byte.com/art/9612/sec6/art2.htm)}. See also March 1997 "inbox". {Chronology of Events in the History of Microcomputers (http://islandnet.com/~kpolsson/comphist.htm)}, Ken Polsson. See also {Parkinson's Law of Data}. [{Jargon File}] (1997-03-04)

moresque ::: a. --> Of or pertaining to, or in the manner or style of, the Moors; Moorish. ::: n. --> The Moresque style of architecture or decoration. See Moorish architecture, under Moorish.

morisco ::: a. --> Moresque. ::: n. --> A thing of Moorish origin; as: (a) The Moorish language. (b) A Moorish dance, now called morris dance. Marston. (c) One who dances the Moorish dance. Shak. (d) Moresque decoration or architecture.

MTA 1. "messaging" {Message Transfer Agent}. 2. "messaging" {Message Transfer Architecture}. ({AT&T}). 3. {Multiple Terminal Access}. 4. {Maintenance Task Analysis}. (1997-02-28)

MUMPS "language" (Or "M") Massachusetts General Hospital Utility Multi-Programming System. A programming language with extensive tools for the support of {database management systems}. MUMPS was originally used for medical records and is now widely used where multiple users access the same databases simultaneously, e.g. banks, stock exchanges, travel agencies, hospitals. Early MUMPS implementations for {PDP-11} and {IBM PC} were complete {operating systems}, as well as programming languages, but current-day implementations usually run under a normal host {operating system}. A MUMPS program hardly ever explicitly performs low-level operations such as opening a file - there are programming constructs in the language that will do so implicitly, and most MUMPS programmers are not even aware of the {operating system} activity that MUMPS performs. Syntactically MUMPS has only one data-type: strings. Semantically, the language has many data-types: text strings, {binary strings}, {floating point} values, {integer} values, {Boolean} values. Interpretation of strings is done inside functions, or implicitly while applying mathematical {operators}. Since many operations involve only moving data from one location to another, it is faster to just move uninterpreted strings. Of course, when a value is used multiple times in the context of arithmetical operations, optimised implementations will typically save the numerical value of the string. MUMPS was designed for portability. Currently, it is possible to share the same MUMPS database between radically different architectures, because all values are stored as text strings. The worst an implementation may have to do is swap pairs of bytes. Such multi-CPU databases are actually in use, some offices share databases between {VAX}, {DEC Alpha}, {SUN}, {IBM PC} and {HP} {workstations}. Versions of MUMPS are available on practically all {hardware}, from the smallest ({IBM PC}, {Apple Macintosh}, {Acorn} {Archimedes}), to the largest {mainframe}. MSM ({Micronetics Standard MUMPS}) runs on {IBM PC RT} and {R6000}; DSM (Digital Standard Mumps) on the {PDP-11}, {VAX}, {DEC Alpha}, and {Windows-NT}; {Datatree MUMPS} from {InterSystems} runs on {IBM PC}; and {MGlobal MUMPS} on the {Macintosh}. Multi-{platform} versions include {M/SQL}, available from {InterSystems}, {PFCS} "mumps@pfcs.com" and {MSM}. {Greystone Technologies}' GT/M runs on {VAX} and {DEC Alpha}. This is a compiler whereas the others are {interpreters}. {GT/SQL} is their {SQL} pre-processor. ISO standard 11756 (1991). ANSI standard: "MUMPS Language Standard", X11.1 (1977, 1984, 1990, 1995?). The MUMPS User's Group was the {M Technology Association}. {Usenet} newsgroups: {news:comp.lang.mumps}. (2003-06-04)

nanocomputer "architecture" /nan'oh-k*m-pyoo'tr/ A computer with molecular-sized switching elements. Designs for mechanical nanocomputers which use single-molecule sliding rods for their logic have been proposed. The controller for a {nanobot} would be a nanocomputer. Some nanocomputers can also be called {quantum computers} because quantum physics plays a major role in calculations. {Richard P. Feynman} is still cited today for his work in this area. ["Feynman Lectures on Computation", Richard P. Feynman (Editor, Author), Robin W. Allen (Editor), Tony Hey (Author)] [{Jargon File}] (2008-01-14)

net 1. "networking" {network}. 2. "networking" {network, the}. 3. "architecture" {neural network}. 4. "networking" The {top-level domain} originally for networks, although it sees heavy use for {vanity domains} of all types. [{Jargon File}] (1999-01-26)

NETL A {semantic network} language, for {connectionist} architectures. ["NETL: A System for Representing and Using Real-World Data", S.E. Fahlman, MIT Press 1979]. (1995-01-05)

Netware Input/Output Subsystem "operating system, networking" (NIOS) The lowest {layer} in the {Novell NetWare} {client} architecture. NIOS is the interface layer between the client operating system and the 32-bit client services provided by NetWare. {(http://developer.novell.com/research/appnotes/1996/november/01/04.htm)}. (1999-04-24)

newsgroup "messaging" One of {Usenet}'s huge collection of topic groups or {fora}. {Usenet} groups can be "unmoderated" (anyone can post) or "moderated" (submissions are automatically directed to a {moderator}, who edits or filters and then posts the results). Some newsgroups have parallel {mailing lists} for {Internet} people with no netnews access, with postings to the group automatically propagated to the list and vice versa. Some moderated groups (especially those which are actually gatewayed {Internet} {mailing lists}) are distributed as "{digests}", with groups of postings periodically collected into a single large posting with an index. Among the best-known are comp.lang.c (the {C}-language forum), comp.arch (on computer architectures), comp.Unix.wizards (for {Unix wizards}), rec.arts.sf-lovers (for science-fiction fans), and talk.politics.misc (miscellaneous political discussions and {flamage}). Barry Shein "bzs@world.std.com" is alleged to have said, "Remember the good old days when you could read all the group names in one day?" This gives a good idea of the growth and size of {Usenet}. See also {netiquette}. [{Jargon File}] (1994-12-13)

Next Program Counter "architecture" (nPC) A {register} in a {CPU} that contains the {address} of the {instruction} to be executed next. (2000-07-12)

Non-Uniform Memory Access "architecture" (NUMA) A memory architecture, used in {multiprocessors}, where the access time depends on the memory location. A processor can access its own local memory faster than non-local memory (memory which is local to another processor or shared between processors). (1995-11-12)

northbridge "architecture" The single {integrated circuit} in a {core logic} {chip set} that connects the {CPU} to the {system memory} and the {AGP} and {PCI} busses. Other functions are provided by the {southbridge} chip. {(http://maximumpc.com/terminator/terminator_n.html)}. (2000-01-15)

NPC 1. "complexity" {NP-complete}. 2. "architecture" {Next Program Counter}. (2000-07-12)

NUXI problem "data, architecture" /nuk'see pro'bl*m/ The problem of transferring data between computers with differing {byte order}. The string "Unix" might look like "NUXI" on a machine with a different "byte sex" (e.g. when transferring data from a {little-endian} to a {big-endian}, or vice-versa). See also {middle-endian}, {swab}, and {bytesexual}. [{Jargon File}] (2001-06-12)

Oberon-V (Formerly Seneca). R. Griesemer, 1990. Descendant of Oberon designed for numerical applications on supercomputers, especially vector or pipelined architectures. Includes array constructors and an ALL statement. "Seneca - A Language for Numerical Applications on Vectorcomputers", Proc CONPAR 90 - VAPP IV Conf. R. Griesemer, Diss Nr. 10277, ETH Zurich.

Object Management Group "body" (OMG) A consortium aimed at setting {standards} in {object-oriented programming}. In 1989, this consortium, which included {IBM Corporation}, {Apple Computer Inc.} and {Sun Microsystems Inc.}, mobilised to create a cross-compatible distributed object standard. The goal was a common binary object with methods and data that work using all types of development environments on all types of platforms. Using a committee of organisations, OMG set out to create the first {Common Object Request Broker Architecture} (CORBA) standard which appeared in 1991. As of February 1998, the latest standard is CORBA 2.2. {(http://omg.org/)}. [David S. Linthicum, DBMS, January 1997] (1999-02-02)

ObjVlisp 1984. An {object-oriented} extension of {Vlisp}. {Reflective} architecture. ["Metaclasses are First Class: The ObjVlisp Model", P. Cointe, SIGPLAN Notices 22(121):156-167 (Dec 1987) (OOPSLA '87)].

ODA {Open Document Architecture} (formerly Office Document Architecture).

Ode An {Object-Oriented Database} from {AT&T} which extends {C++} and supports fast queries, complex application modelling and {multimedia}. Ode uses one integrated data model ({C++} {class}es) for both database and general purpose manipulation. An Ode database is a collection of {persistent} {objects}. It is defined, queried and manipulated using the language {O++}. O++ programs can be compiled with C++ programs, thus allowing the use of existing C++ code. O++ provides facilities for specifying transactions, creating and manipulating persistent objects, querying the database and creating and manipulating versions. The Ode object database provides four object compatible mechanisms for manipulating and querying the database. As well as O++ there are OdeView - an {X Window System} interface; OdeFS (a file system interface allowing objects to be treated and manipulated like normal Unix files); and CQL++, a {C++} variant of {SQL} for easing the transition from {relational databases} to OODBs such as Ode. Ode supports large objects (critical for {multimedia} applications). Ode tracks the relationship between versions of objects and provides facilities for accessing different versions. Transactions can be specified as read-only; such transactions are faster because they are not logged and they are less likely to {deadlock}. 'Hypothetical' transactions allow users to pose "what-if" scenarios (as with {spreadsheets}). EOS, the {storage engine} of Ode, is based on a client-server architecture. EOS supports {concurrency} based on {multi-granularity} two-version two-phase locking; it allows many readers and one writer to access the same item simultaneously. Standard two-phase locking is also available. Ode supports both a {client-server} mode for multiple users with concurrent access and a single user mode giving improved performance. Ode 3.0 is currently being used as the {multimedia} {database engine} for {AT&T}'s {Interactive TV} project. Ode 2.0 has also been distributed to more than 80 sites within AT&T and more than 340 universities. Ode is available free to universities under a non-disclosure agreement. The current version, 3.0, is available only for {Sun} {SPARCstations} running {SunOS} 4.1.3 and {Solaris} 2.3. Ode is being ported to {Microsoft} {Windows NT}, {Windows 95} and {SGI} {platforms}. E-mail: Narain Gehani "nhg@research.att.com". (1994-08-18)

ODSA {Open Distributed System Architecture}

OFA {Optimal Flexible Architecture}

OIL 1. ["The Architecture of the FAIM-1 Symbolic Multiprocessing System", A. Davis et al, 9th Intl Joint Conf in Artif Intell, 1985, pp.32-38]. 2. Operator Identification Language. Used for {overloading} resolution by the {Eli} compiler-writing system.

oillet ::: n. --> A small opening or loophole, sometimes circular, used in mediaeval fortifications.

A small circular opening, and ring of moldings surrounding it, used in window tracery in Gothic architecture.


OMA Object Management Architecture. A set of standards under study by the {OMG}. (1994-11-11)

Open Distributed Processing "standard" (ODP) An attempt to standardise an {OSI} {application layer} communications architecture. ODP is a natural progression from {OSI}, broadening the target of standardisation from the point of interconnection to the end system behaviour. The objective of ODP is to enable the construction of {distributed systems} in a multi-vendor environment through the provision of a general architectural framework that such systems must conform to. One of the cornerstones of this framework is a model of multiple viewpoints which enables different participants to observe a system from a suitable perspective and a suitable level of {abstraction}. (1995-03-10)

Open Distributed System Architecture (ODSA) A research program sponsored by the UK Department of Trade and Industry and the Engineering and Physical Sciences Research Council. [Details?] (1995-02-09)

OpenDoc "operating system" A compound document architecture from {CIL} based on {CORBA}. It aims to enable embedding of features from different {application programs} into a single working document. (1997-02-21)

Open Document Architecture "standard" (ODA) {ISO} {standard} (8613) for describing documents. It allows text, graphics, and facsimile documents to be transferred between different systems. {ODIF} is part of ODA. (1995-03-03)

Open Scripting Architecture (OSA) A {CIL} approach to the coexistence of multiple scripting systems. (1995-03-10)

Open Software Foundation "body" (OSF) A foundation created by nine computer vendors, ({Apollo}, {DEC}, {Hewlett-Packard}, {IBM}, {Bull}, {Nixdorf}, {Philips}, {Siemens} and {Hitachi}) to promote "Open Computing". It is planned that common {operating systems} and interfaces, based on developments of {Unix} and the {X Window System} will be forthcoming for a wide range of different hardware architectures. OSF announced the release of the industry's first open {operating system} - OSF/1 on 23 October 1990. (1994-11-23)

Open System Architecture "operating system" (OSA) A competitor to {IBM}'s {SNA}. (2005-03-07)

Open Systems Interconnection "networking" (OSI-RM, OSI Reference Model, seven layer model) A model of network architecture and a suite of {protocols} (a {protocol stack}) to implement it, developed by {ISO} in 1978 as a framework for international {standards} in heterogeneous computer {network} architecture. The OSI architecture is split between seven {layers}, from lowest to highest: 1 {physical layer}, 2 {data link layer}, 3 {network layer}, 4 {transport layer}, 5 {session layer}, 6 {presentation layer}, 7 {application layer}. Each layer uses the layer immediately below it and provides a service to the layer above. In some implementations a layer may itself be composed of sub-layers. OSI is the umbrella name for a series of non-proprietary protocols and specifications, comprising, among others, the OSI Reference Model, ASN.1 ({Abstract Syntax Notation 1}), BER ({Basic Encoding Rules}), {CMIP} and {CMIS} (Common Management Information Protocol and Services), {X.400} (Message Handling System, or MHS), {X.500} (Directory Service), {Z39.50} (search and retrieval protocol used by {WAIS}), and many others. Apart from its actual application to real protocols, it also serves as a useful teaching model. (2004-02-13)

Operational Data Store "database" (ODS) A group of integrated databases designed to support the monitoring of operations. Unlike function oriented databases, an ODS contains subject-oriented, dynamic, current enterprise-wide information that is continually updated to show the current state of operations. ["Data Warehousing Architecture and Implementation"]. (2010-02-28)

Optimal Flexible Architecture "database" (OFA) Recommendations for logical and physical allocation of {database} files to disks. The OFA principles can be summarised as: isolate redo, rollback, temp, data and index files as much as possible. OFA can be combined with SAME ({Stripe And Mirror Everything}). (2007-02-28)

Organic Mode "programming" A term used by {COCOMO} to describe a project that is developed in a familiar, stable environment. The product is similar to previously developed products. Most people connected with the project have extensive experience in working with related systems and have a thorough understanding of the project. The project contains a minimum of innovative {data processing} architectures or {algorithms}. The product requires little innovation and is relatively small, rarely greater than 50,000 {DSIs}. (1996-05-29)

orthogonal instruction set "architecture" An {instruction set} where all (or most) instructions have the same format and all {registers} and {addressing modes} can be used interchangeably - the choices of {op code}, register, and addressing mode are mutually independent (loosely speaking, the choices are "{orthogonal}"). This contrasts with some early {Intel} {microprocessors} where only certain registers could be used by certain instructions. Examples include the {PDP-11}, {680x0}, {ARM}, {VAX}. (2002-06-26)

OSA 1. {Open Scripting Architecture}. 2. {Open System Architecture}.

output "architecture" {Data} transferred from a computer system to the outside world via some kind of {output device}. Opposite: {input}. (1997-04-28)

overflow bit "architecture" A processor {flag bit} set by the {ALU} to indicate {overflow}. (2008-05-30)

P1754 "processor, standard" IEEE Std 1754-1994 "A 32-Bit Microprocessor Architecture". The {IEEE} standard defining a version of the {SPARC} {microprocessor} architecture. The P1754 standard (the first microprocessor standard) was approved after four years on 1994-03-17. It is compatible with, but distinct from, {SPARC International}'s 32 bit version of the SPARC Architecture, SPARC V8, from which it is largely derived. It is possible for a processor to comply with neither, one, or both specifications. {SI article (http://sparc.com/sparc.new/other/sflash/94-03.html)}. (1996-12-21)

page in "storage, architecture" What a {paging} system does when it copies part of a {task}'s {working memory} from {swap space} on disk to {RAM}. [{Jargon File}] (1995-01-23)

page out "storage, architecture" What a {paging} system does when it copies part of a {task}'s {working memory} from {RAM} to {swap space} on disk. [{Jargon File}] (1995-01-23)

palladian ::: a. --> Of, pertaining to, or designating, a variety of the revived classic style of architecture, founded on the works of Andrea Palladio, an Italian architect of the 16th century.

palmette ::: n. --> A floral ornament, common in Greek and other ancient architecture; -- often called the honeysuckle ornament.

Paralation PARALlel reLATION. Sabot, MIT 1987. A framework for parallel programming. A "field" is an array of objects, placed at different sites. A paralation is a group of fields, defining nearness between field elements. Operations can be performed in parallel on every site of a paralation. ["The Paralation Model: Architecture Independent Programming", G.W. Sabot "gary@think.com", MIT Press 1988].

pargeting ::: p. pr. & vb. n. --> of Parget ::: n. --> Plasterwork; esp.: (a) A kind of decorative plasterwork in raised ornamental figures, formerly used for the internal and external decoration of houses. (b) In modern architecture, the plastering of the inside of flues, intended to give a smooth surface

PDP-6 "computer" Programmed Data Processor model 6. A computer designed around 1960 with more or less exactly the same hardware architecture as the {PDP-10}. It already had multi-user {time sharing} and {batch processing} and multi-level priority {interrupts} (1996-12-21)

PE 1. "database" {periodic group} 2. "storage" {Phase Encoded}. 3. "architecture" {processing element}. (1995-10-30)

pediment ::: n. --> Originally, in classical architecture, the triangular space forming the gable of a simple roof; hence, a similar form used as a decoration over porticoes, doors, windows, etc.; also, a rounded or broken frontal having a similar position and use. See Temple.

pendant ::: n. --> Something which hangs or depends; something suspended; a hanging appendage, especially one of an ornamental character; as to a chandelier or an eardrop; also, an appendix or addition, as to a book.

A hanging ornament on roofs, ceilings, etc., much used in the later styles of Gothic architecture, where it is of stone, and an important part of the construction. There are imitations in plaster and wood, which are mere decorative features.
One of a pair; a counterpart; as, one vase is the pendant


pentastyle ::: a. --> Having five columns in front; -- said of a temple or portico in classical architecture. ::: n. --> A portico having five columns.

Pentium III "processor" The {microprocessor} that was {Intel Corporation}'s successor to the {Pentium II}, introduced in 1999 with a 500 {MHz} {clock rate}. The Pentim III is very similar to the Pentium II in architecture. Its {external bus} can be clocked at 100 or 133 {MHz}, it can have up to 512 {KB} of {secondary cache}, and it comes in various packages including {SECC2} and {FC-PGA}. The Pentium III has a {P6} {Dynamic Execution} {microarchitecture}, a {multi-transaction system bus}, and {MMX}, like the Pentium II. It adds {Dual Independent Bus} (DIB) Architecture, the {Intel Processor Serial Number}, Internet {Streaming SIMD Extensions} and 70 new {instructions}. Some versions also include an {Advanced Transfer Cache} and {Advanced System Buffering}. When Intel released a 1.13 {GHz} version of the Pentium III processor using a 0.18 {micron} fabrication process on 2000-07-31, it was the world's highest performance microprocessor for {PC}s. {(http://intel.com/PentiumIII)}. (2000-10-05)

Pentium II "processor" {Intel Corporation}'s successor to the {Pentium Pro}. The Pentium II can execute all the instructions of all the earlier members of the {Intel 80x86} processor family. There are four versions targetted at different user markets. The {Celeron} is the simplest and cheapest. The standard Pentium II is aimed at mainstream home and business users. The {Pentium II Xeon} is intended for higher performance business {servers}. There is also a mobile version of the Pentium II for use in portable computers. All versions of the Pentium II are packaged on a special {daughterboard} that plugs into a card-edge processor slot on the {motherboard}. The daughterboard is enclosed within a rectangular black box called a {Single Edge Contact} (SEC) cartridge. The budget {Celeron} may be sold as a card only without the box. Consumer line Pentium II's require a 242-pin slot called {Slot 1}. The {Xeon} uses a 330-pin slot called Slot 2. Intel refers to Slot 1 and Slot 2 as SEC-242 and SEC-330 in some of their technical documentation. The daughterboard has mounting points for the Pentium II {CPU} itself plus various support chips and {cache} memory chips. All components on the daughterboard are normally permanently soldered in place. Previous generation {Socket 7} motherboards cannot normally be upgraded to accept the Pentium II, so it is necessary to install a new motherboard. All Pentium II processors have {Multimedia Extensions} (MMX) and integrated Level One and Level Two cache controllers. Additional features include {Dynamic Execution} and Dual Independent Bus Architecture, with separate 64 bit system and cache busses. Pentium II is a {superscalar} CPU having about 7.5 million {transistors}. The first Pentium II's produced were code named {Klamath}. They were manufactured using a 0.35 micron process and supported {clock rates} of 233, 266, 300 and 333 {MHz} at a {bus} speed of 66 MHz. Second generation Pentium II's, code named Deschutes, are made with a 0.25 micron process and support rates of 350, 400 and 450 MHz at a bus speed of 100 MHz. {(http://intel.com/PentiumII/)}. (1998-10-06)

Pentium Pro "processor" (Known as "P6" during development) {Intel}'s successor to the {Pentium} processor, in development Jan 1995, generally available 1995-11-01. The P6 has an internal {RISC} architecture with a {CISC}-{RISC} translator, 3-way {superscalar} execution, and {out-of order execution} (or "{speculative execution}", which Intel calls "{Dynamic Execution}"). It also features {branch prediction} and {register renaming}, and is superpipelined (14 stages). The P6 is made as a two-chip assembly: the first chip is the {CPU} and 16 kilobyte {first-level cache} (5.5 million {transistors}) and the other is a 256 (or 512) kilobyte {second-level cache} (15 million transistors). The first version has a {clock rate} of 133 Mhz and consumes about 20W of power. It is about twice as fast as the 100 MHz Pentium. The original 0.35 micron versions of the Pentium Pro released on 1995-11-01 run at 150 and 166 Mhz for desktop machines and up to 200 Mhz for {servers}. Heat disspation is about 20 Watts. The Pentium Pro is optimised for 32-bit software and runs 16-bit software slower than the original Pentium. The successor was the {Pentium II}. [Performance?] (1996-03-01)

peribolos ::: n. --> In ancient architecture, an inclosed court, esp., one surrounding a temple.

Peripheral Component Interconnect "hardware" (PCI) A standard for connecting {peripherals} to a {personal computer}, designed by {Intel} and released around Autumn 1993. PCI is supported by most major manufacturers including {Apple Computer}. It is technically far superior to {VESA}'s {local bus}. It runs at 20 - 33 MHz and carries 32 bits at a time over a 124-pin connector or 64 bits over a 188-pin connector. An address is sent in one cycle followed by one word of data (or several in burst mode). PCI is used in systems based on {Pentium}, {Pentium Pro}, {AMD 5x86}, {AMD K5} and {AMD K6} processors, in some {DEC Alpha} and {PowerPC} systems, and probably {Cyrix 586} and {Cyrix 686} systems. However, it is processor independent and so can work with other processor architectures as well. Technically, PCI is not a bus but a {bridge} or {mezzanine}. It includes buffers to decouple the {CPU} from relatively slow peripherals and allow them to operate asynchronously. (1997-12-07)

ping-pong "architecture" A phenomenon which can occur in a {multi-processor} system with {private caches} where two processors are alternately caching a shared location. Each time one writes to it, it invalidates the other's copy. (1995-12-29)

pipeline "architecture" A sequence of {functional units} ("stages") which performs a task in several steps, like an assembly line in a factory. Each functional unit takes inputs and produces outputs which are stored in its output {buffer}. One stage's output buffer is the next stage's input buffer. This arrangement allows all the stages to work in parallel thus giving greater throughput than if each input had to pass through the whole pipeline before the next input could enter. The costs are greater latency and complexity due to the need to synchronise the stages in some way so that different inputs do not interfere. The pipeline will only work at full efficiency if it can be filled and emptied at the same rate that it can process. Pipelines may be synchronous or asynchronous. A synchronous pipeline has a master clock and each stage must complete its work within one cycle. The minimum clock period is thus determined by the slowest stage. An asynchronous pipeline requires {handshaking} between stages so that a new output is not written to the interstage buffer before the previous one has been used. Many {CPUs} are arranged as one or more pipelines, with different stages performing tasks such as fetch instruction, decode instruction, fetch arguments, arithmetic operations, store results. For maximum performance, these rely on a continuous stream of instructions fetched from sequential locations in memory. Pipelining is often combined with {instruction prefetch} in an attempt to keep the pipeline busy. When a {branch} is taken, the contents of early stages will contain instructions from locations after the branch which should not be executed. The pipeline then has to be flushed and reloaded. This is known as a {pipeline break}. (1996-10-13)

pipeline break "architecture" (Or "pipeline stall") The delay caused on a processor using {pipelines} when a {transfer of control} is taken. Normally when a control-transfer instruction (a branch, conditional branch, call or trap) is taken, any following instructions which have been loaded into the processor's {pipeline} must be discarded or "flushed" and new instructions loaded from the branch destination. This introduces a delay before the processor can resume execution. "{Delayed control-transfer}" is a technique used to reduce this effect. (1996-10-13)

plinth ::: n. --> In classical architecture, a vertically faced member immediately below the circular base of a column; also, the lowest member of a pedestal; hence, in general, the lowest member of a base; a sub-base; a block upon which the moldings of an architrave or trim are stopped at the bottom. See Illust. of Column.

PNP 1. "electronics" A type of {bipolar transistor} consisting of a layer of N-doped {semiconductor} (the "base") between two P-doped layers (the "collector" and "emitter"). PNP transistors are commonly operated with the emitter at {ground} and the collector at a negative {voltage}. In the 1960s, the germanium PNP transistor was the cheapest and best for use at ordinary temperatures. The {leakage current} from collector to base in this type of device is larger than for the silicon transistor, and also varies more with temperature. The effect of these deficiencies can be lessened by proper biasing and feedback, which can make the circuit both serviceable and reliable. Neither germanium nor PNP transistors are as common today. The voltages used on a PNP transistor are inverted when compared with {vacuum tubes}. Further, the behaviour of vacuum tubes is usually described in terms of {voltages} whereas transistors are better described in terms of {current}. 2. "architecture" {plug and play}. (1997-05-05)

Portable Object Adapter "architecture" (POA) Part of the {CORBA} architecture. [Details?] (2004-06-23)

portico ::: n. --> A colonnade or covered ambulatory, especially in classical styles of architecture; usually, a colonnade at the entrance of a building.

postfix notation "language" (Or "Reverse Polish Notation", RPN) One of the possible orderings of {functions} and {operands}: in postfix notation the functions are preceded by all their operands. For example, what may normally be written as "1+2" becomes "1 2 +". Postfix notation is well suited for {stack} based {architectures} but modern {compilers} reduced this advantage considerably. The best-known language with postfix syntax is {FORTH}. Some {Hewlett-Packard} calculators use it, e.g. HP-25, HP-29C, HP-41C, HP-23SII. Compare: {infix notation}, {prefix notation}. (2003-06-23)

Power Mac "computer" {Apple Computer}'s {personal computer} based on the {PowerPC}, introduced on 1994-03-14. The Power Mac G4 (Quicksilver 2002) was the first Power Mac to clock at 1 GHz. In mid-2003, the Power Mac G5 was released, the first Mac to be based on a 64-bit architecture. IBM manufactured the CPU for this new model. The clock speed was initially 1.6 GHz but a dual 2 GHz system was available in September. Existing {680x0} code (both applications and device drivers) run on Power Mac systems without modification via a {Motorola 68LC040} {emulator}. The performance of these unmodified applications is equivalent to a fast {68040}-based {Macintosh}, e.g. a fast {Macintosh Quadra}. The Power Mac runs {Macintosh operating system} from {System 7.5} to {Mac OS} 8.5. {Power Mac Home (http://apple.com/powermac/)}. (2003-11-26)

PowerOpen Environment "operating system" (POE) A definition containing {API} and {ABI} specifications based on the {PowerPC} architecture. It is not an {operating system}. The presence of the ABI specification in the POE distinguishes it from other open systems (POSIX, XPG4, etc.) since it allows {platform} independent binary compatibility which is otherwise typically limited to particular hardware. The POE is an {open standard}, derived from {AIX} and conforming to industry open standards including {POSIX}, {XPG4} and {Motif}. The POE specification will be publicly available to anyone wishing to produce either {application programs} or hardware {platforms}. The {PowerOpen Association} will provide the necessary {conformance test}ing and POE branding. The POE is hardware {bus} independent. System implementations can range from {laptop computers} to {supercomputers}. It requires a multi-user, {multitasking} {operating system}. It provides networking support, an {X Window System} extension, a {Macintosh} Application Services extension and {Motif}. It is {conformance test}ed and certified by an independent party (the {PowerOpen Association}). The POE specification is targeted for availability in the first quarter of 1994. The {PowerOpen Association} will soon have some of the information material available on-line. (1994-11-08)

PowerPC Platform "architecture, standard" (PPCP, PReP - PowerPC Reference Platform, formerly CHRP - Common Hardware Reference Platform) An open system standard, designed by {IBM}, intended to ensure compatibility among {PowerPC}-based systems built by different companies. The PReP standard specifies the {PCI} bus, but will also support {ISA}, {MicroChannel} and {PCMCIA}. PReP-compliant systems will be able to run the {Macintosh} OS, {OS/2}, {WorkplaceOS}, {AIX}, {Solaris}, {Taligent} and {Windows NT}. IBM systems will (of course) be PReP-compliant. Apple's first {PowerPC} {Macintosh}es will not be compliant, but future ones may be. {IBM info (http://fnctsrv0.chips.ibm.com/products/ppc/L3ppcp.html)}. {(http://billboard.emedia.com.au/chipster/computers/CHRP/whatsCHRP.html)}. [Current OS statuses?] (1997-03-23)

PowerPC "processor, standard" (PPC) A {RISC} {microprocessor} designed to meet a {standard} which was jointly designed by {Motorola}, {IBM}, and {Apple Computer} (the PowerPC Alliance). The PowerPC standard specifies a common {instruction set architecture} (ISA), allowing anyone to design and fabricate PowerPC processors, which will run the same code. The PowerPC architecture is based on the IBM {POWER} architecture, used in IBM's {RS/6000} {workstations}. Currently {IBM} and {Motorola} are working on PowerPC chips. The PowerPC standard specifies both 32-bit and 64-bit data paths. Early implementations were 32-bit (e.g. {PowerPC 601}); later higher-performance implementations were 64-bit (e.g. PowerPC 620). A PowerPC has 32 integer {registers} (32- or 64 bit) and 32 {floating-point} (IEEE standard 64 bit) {floating-point} registers. The POWER CPU chip and PowerPC have a (large) common core, but both have instructions that the other doesn't. The PowerPC offers the following features that POWER does not: Support for running in {little-endian} mode. Addition of single precision {floating-point} operations. Control of branch prediction direction. A hardware coherency model (not in Book I). Some other {floating-point} instructions (some optional). The real time clock (upper and lower) was replaced with the time base registers (upper and lower), which don't count in sec/ns (the decrementer also changed). 64-bit instruction operands, registers, etc. (in 64 bit processors). See also {PowerOpen}, {PowerPC Platform} (PReP). {IBM PPC info (http://fnctsrv0.chips.ibm.com/products/ppc/index.html)}. {(gopher://info.hed.apple.com/)}, "Apple Corporate News/" (press releases), "Apple Technologies/" and "Product Information/". {(gopher://ike.engr.washington.edu/)}, "IBM General News/", "IBM Product Announcements/", "IBM Detailed Product Announcements/", "IBM Hardware Catalog/". {Usenet} newsgroups: {news:comp.sys.powerpc}, {news:comp.sys.mac.hardware}. ["Microprocessor Report", 16 October 1991]. (1994-09-30)

POWER Performance Optimization with Enhanced RISC. The {IBM} processor architecture on which {PowerPC} was based.

power save mode "architecture" A feature of a component or subsystem designed to actively reduce its power consumption when not in use. Almost any electronic device might benefit from having a power save mode but the most common application is for portable computers which attempt to conserve battery life by incorporating power saving modes in the {CPU}, display, disks, printer, or other units. (1995-10-14)

predict 1. "simulation" {simulation}, {predictive analytics}. 2. "architecture" {branch prediction}. 3. "audio, compression" {predictive audio compression}.

prepaging "architecture" (Or "working set model") A technique whereby the {operating system} in a {paging} {virtual memory} {multitasking} environment loads all pages of a process's {working set} into memory before the process is restarted. Under {demand paging} a process accesses its working set by {page faults} every time it is restarted. Under prepaging the system remembers the pages in each process's working set and loads them into physical memory before restarting the process. Prepaging reduces the {page fault} rate of reloaded processes and hence generally improves CPU efficiency. ["Modern Operating Systems", Andrew S. Tanenbaum, pub. Prentice Hall, Inc. 1992]. (1998-04-23)

primary cache "hardware, architecture" (L1 cache, level one cache) A small, fast {cache} memory inside or close to the {CPU} chip. For example, an {Intel 80486} has an eight-{kilobyte} on-chip cache, and most {Pentiums} have a 16-KB on-chip level one cache that consists of an 8-KB {instruction cache} and an 8-KB {data cache}. The larger, slower {secondary cache} is normally connected to the CPU via its external {bus}. (1997-06-25)

Programmable Array Logic "hardware" (PAL) A family of fuse-programmable logic {integrated circuits} originally developed by {MMI}. Registered or {combinatorial} output functions are modelled in a {sum of products} form. Each output is a sum (logical or) of a fixed number of products (logical and) of the input signals. This structure is well suited for automatic generation of programming patterns by logic compilers. PAL devices are programmed by blowing the fuses permanently using overvoltage. Today, more complex devices based on the same original architecture are available (CPLD's for Complex PLD's) that incorporate the equivalent of several original PAL chips. PAL chips are, however, still popular due to their high speed. {Generic Array Logic} devices are reprogrammable and contain more {logic gates}. (1995-12-09)

proto-doric ::: a. --> Pertaining to, or designating, architecture, in which the beginnings of the Doric style are supposed to be found.

PS/2 "computer" {IBM}'s second generation of {personal computers}. The PS/2 series introduced three advances over the PC series: 3.5" 1.44 megabyte {microfloppy} disks, {VGA} and {8514} graphics {display standards}, and the {Micro Channel} bus architecture. The 3.5" disks and VGA can be easily installed on other PCs and will become the standard for new compatible computers. The Micro Channel bus allows for multiprocessing and less aggravation, but cannot be retrofitted to older PCs. PS/2 models 25 and 30 are {ISA}, other models have Micro Channel and {ESDI}. (1995-11-27)

quaint ::: a. --> Prudent; wise; hence, crafty; artful; wily.
Characterized by ingenuity or art; finely fashioned; skillfully wrought; elegant; graceful; nice; neat.
Curious and fanciful; affected; odd; whimsical; antique; archaic; singular; unusual; as, quaint architecture; a quaint expression.


RealAudio "tool, communications" A program from {Real Media} for playing {audio} over the {Internet}, and the {lossy} audio compression format it uses. The system is implemented as a {client/server} architecture. The RealAudio server incorporates an {encoder} which compresses sound into RealAudio files. The client side is a {web browser} {plug-in} or {add-on} (a recent version of {Internet Explorer} apparently has built-in support for RealAudio) which allows the stream of data sent from the server to be uncompressed and output using the normal sound facilities of the computer, such as a {sound card}. A 14.4 {KBps} or better {modem} is required, and a 28.8 KBps connection is recommended for music-quality sound. {(http://realaudio.com/)}. (2001-12-13)

redundancy 1. "architecture, parallel" The provision of multiple interchangeable components to perform a single function in order to provide resilience (to cope with failures and errors). Redundancy normally applies primarily to hardware. For example, a {cluster} may contain two or three computers doing the same job. They could all be active all the time thus giving extra performance through {parallel processing} and {load balancing}; one could be active and the others simply monitoring its activity so as to be ready to take over if it failed ("warm standby"); the "spares" could be kept turned off and only switched on when needed ("cold standby"). Another common form of hardware redundancy is {disk mirroring}. 2. "data, communications, storage" {data redundancy}. (1995-05-09)

Redundant Array of Independent Disks "storage, architecture" (RAID) A standard naming convention for various ways of using multiple disk drives to provide redundancy and distributed I/O. The original ("..Inexpensive..") term referred to the 3.5 and 5.25 inch disks used for the first RAID system but no longer applies. As {solid state drives} are becoming a practical repacement for magnetic disks, "RAID" is sometimes expanded as "Redundant Array of Independent Drives". The following standard RAID specifications exist: RAID 0 Non-redundant striped array RAID 1 Mirrored arrays RAID 2 Parallel array with ECC RAID 3 Parallel array with parity RAID 4 Striped array with parity RAID 5 Striped array with rotating parity RAID originated in a project at the computer science department of the {University of California at Berkeley}, under the direction of Professor Katz, in conjunction with Professor {John Ousterhout} and Professor {David Patterson}. A prototype disk array file server with a capacity of 40 GBytes and a sustained bandwidth of 80 MBytes/second was interfaced to a 1 Gb/s {local area network}. It was planned to extend the storage array to include automated {optical disks} and {magnetic tapes}. {(ftp://wuarchive.wustl.edu/doc/techreports/berkeley.edu/raid/raidPapers)}. {(http://HTTP.CS.Berkeley.EDU/projects/parallel/research_summaries/14-Computer-Architecture/)}. ["A Case for Redundant Arrays of Inexpensive Disks (RAID)", "D. A. Patterson and G. Gibson and R. H. Katz", Proc ACM SIGMOD Conf, Chicago, IL, Jun 1988]. ["Introduction to Redundant Arrays of Inexpensive Disks (RAID)", "D. A. Patterson and P. Chen and G. Gibson and R. H. Katz", IEEE COMPCON 89, San Francisco, Feb-Mar 1989]. (2012-08-26)

Redundant Array of Inexpensive Servers "architecture" (RAIS) The use of multiple {servers} to provide the same service in such a way that service will still be available if one or more of the servers fails. The term may or may not imply some kind of {load balancing} between the servers. See {cluster}. The term "RAIS" follows {RAID}, which describes schemes for resilient disk storage. (2007-02-28)

register 1. One of a small number of high-speed memory locations in a computer's {CPU}. Registers differ from ordinary {random-access memory} in several respects: There are only a small number of registers (the "register set"), typically 32 in a modern processor though some, e.g. {SPARC}, have as many as 144. A register may be directly addressed with a few bits. In contrast, there are usually millions of words of main memory (RAM), requiring at least twenty bits to specify a memory location. Main memory locations are often specified indirectly, using an {indirect addressing} mode where the actual memory address is held in a register. Registers are fast; typically, two registers can be read and a third written -- all in a single cycle. Memory is slower; a single access can require several cycles. The limited size and high speed of the register set makes it one of the critical resources in most computer architectures. {Register allocation}, typically one phase of the {back-end}, controls the use of registers by a compiled program. See also {accumulator}, {FUBAR}, {orthogonal}, {register dancing}, {register allocation}, {register spilling}. 2. An addressable location in a {memory-mapped} peripheral device. E.g. the transmit data register in a {UART}.

register dancing Many older processor architectures suffer from a serious shortage of general-purpose registers. This is especially a problem for compiler-writers, because their generated code needs places to store temporaries for things like intermediate values in expression evaluation. Some designs with this problem, like the Intel 80x86, do have a handful of special-purpose registers that can be pressed into service, providing suitable care is taken to avoid unpleasant side effects on the state of the processor: while the special-purpose register is being used to hold an intermediate value, a delicate minuet is required in which the previous value of the register is saved and then restored just before the official function (and value) of the special-purpose register is again needed. [{Jargon File}]

religious issues Questions which seemingly cannot be raised without touching off {holy wars}, such as "What is the best operating system (or editor, language, architecture, shell, mail reader, news reader)?", "What about that Heinlein guy, eh?", "What should we add to the new Jargon File?" See {holy wars}; see also {theology}, {bigot}. This term is a prime example of {ha ha only serious}. People actually develop the most amazing and religiously intense attachments to their tools, even when the tools are intangible. The most constructive thing one can do when one stumbles into the crossfire is mumble {Get a life!} and leave - unless, of course, one's *own* unassailably rational and obviously correct choices are being slammed. (1996-08-16)

Richard P. Feynman "person, computing, architecture" /fayn'mn/ 1918-1988. A US physicist, computer scientist and author who graduated from {Massachusetts Institute of Technology} and {Princeton}. Feynmane was a key figure in helping Oppenheimer and team develop atomic bomb. In 1950 he became a professor at {Caltech} and in 1965 became Nobel Prize Laureate in Physics for QED (quantum electrodynamics). He was a primary figure in "solving" the Challenger disaster O-ring problem. He "rediscovered" the former Soviet Socialist Republic of Tuva. The 2001 film "Infinity" about Feynman's early life featured Matthew Broderick and Patricia Arquette. In 2001, "QED", a play about Feynman's life featuring Alan Alda opened. {(http://www.feynman.com/)}. (2008-01-14)

rococo "jargon, abuse" {Baroque} in the extreme. Used to imply that a program has become so encrusted with the software equivalent of gold leaf and curlicues that they have completely swamped the underlying design. Called after the later and more extreme forms of Baroque architecture and decoration prevalent during the mid-1700s in Europe. Alan Perlis said: "Every program eventually becomes rococo, and then rubble." Compare {critical mass}. [{Jargon File}] (1996-04-06)

romanesque ::: a. --> Somewhat resembling the Roman; -- applied sometimes to the debased style of the later Roman empire, but esp. to the more developed architecture prevailing from the 8th century to the 12th.
Of or pertaining to romance or fable; fanciful. ::: n. --> Romanesque style.


RUTH D.A. Harrison at Newcastle University. Real-time language based on LispKit. Uses timestamps and real-time clocks. ["RUTH: A Functional Language for Real-Time Programming", D. Harrison in PARLE: Parallel Architectures and Languages Europe, LNCS 259, Springer 1987, pp.297-314].

SA-110 "processor" The first member of the {StrongARM} family resulting from the architecture license agreement between {Digital Equipment Corporation} and {Advanced RISC Machines} Ltd. (ARM), developer of the {ARM} 32-bit {RISC} architecture. The SA-110 combines ARM's low-power architecture with Digital's processor design and {CMOS} process expertise, and is targetted at {embedded} consumer electronics products. (1996-02-06)

SAA {Systems Application Architecture}

SAME 1. {Standard ANSI Module language with Extensions}. 2. "architecture" {Stripe And Mirror Everything}.

saracenical ::: a. --> Of or pertaining to the Saracens; as, Saracenic architecture.

Scalable Processor ARChitecture "computer" (SPARC) An {instruction set architecture} designed by {Sun Microsystems} for their own use in 1985. Sun was a maker of {680x0}-based {Unix} {workstations}. Research versions of {RISC} processors had promised a major step forward in speed but existing manufacturers were slow to introduce a RISC type processor, so Sun went ahead and developed its own, based on the {University of California at Berkley}'s {RISC I} and {RISC II} 1980-2. In keeping with their open philosophy, they licenced it to other companies, rather than manufacture it themselves. The evolution and standardisation of SPARC is now directed by the non-profit consortium {SPARC International, Inc.} SPARC was not the first {RISC} processor. The {AMD 29000} came before it, as did the {MIPS R2000} (based on {Stanford}'s design) and {Hewlett-Packard} {Precision Architecture} {CPU}, among others. The SPARC design was radical at the time, even omitting multiple cycle multiply and divide instructions (like a few others), while most RISC CPUs are more conventional. SPARC implementations usually contain 128 or 144 {registers}, ({CISC} designs typically had 16 or less). At each time 32 registers are available - 8 are global, the rest are allocated in a "window" from a stack of registers. The window is moved 16 registers down the stack during a function call, so that the upper and lower 8 registers are shared between functions, to pass and return values, and 8 are local. The window is moved up on return, so registers are loaded or saved only at the top or bottom of the register stack. This allows functions to be called in as little as 1 cycle. Like some other RISC processors, reading global register zero always returns zero and writing it has no effect. SPARC is {pipelined} for performance, and like previous processors, a dedicated {condition code register} holds comparison results. SPARC is "scalable" mainly because the register stack can be expanded (up to 512, or 32 windows), to reduce loads and saves between functions, or scaled down to reduce {interrupt} or {context switch} time, when the entire register set has to be saved. Function calls are usually much more frequent, so the large register set is usually a plus. SPARC is not a chip, but a specification, and so there are various implementations of it. It has undergone revisions, and now has multiply and divide instructions. Most versions are 32 bits, but there are designs for 64-bit and {superscalar} versions. SPARC was submitted to the {IEEE} society to be considered for the {P1754} microprocessor standard. SPARC(R) is a registered trademark of SPARC International, Inc. in the United States and other countries. [The SPARC Architecture Manual, v8, ISBN 0-13-825001-4]. (1994-11-01)

scalar 1. "mathematics" A single number, as opposed to a {vector} or {matrix} of numbers. Thus, for example, "scalar multiplication" refers to the operation of multiplying one number (one scalar) by another and is used to contrast this with "matrix multiplication" etc. 2. "architecture" In a {parallel processor} or {vector processor}, the "scalar processor" handles all the sequential operations - those which cannot be parallelised or vectorised. See also {superscalar}. 3. "programming" Any data type that stores a single value (e.g. a number or {Boolean}), as opposed to an {aggregate} data type that has many elements. A {string} is regarded as a scalar in some languages (e.g. {Perl}) and a vector of {characters} in others (e.g. {C}). (2002-06-12)

scotia ::: n. --> A concave molding used especially in classical architecture.
Scotland


SCSI-3 "hardware" An ongoing standardisation effort to extend the capabilities of {SCSI-2}. SCSI-3's goals are more devices on a bus (up to 32); faster data transfer; greater distances between devices (longer cables); more device classes and command sets; structured documentation; and a structured {protocol} model. In SCSI-2, data transmission is parallel (8, 16 or 32 bit wide). This gets increasingly difficult with higher data rates and longer cables because of varying signal delays on different wires. Furthermore, wiring cost and drive power increases with wider data words and higher speed. This has triggered the move to serial interfacing in SCSI-3. By embedding clock information into a serial data stream signal delay problems are eliminated. Driving a single signal also consumes less driving power and reduces connector cost and size. To allow for backward compatibility and for added flexibility SCSI-3 allows the use of several different transport mechanisms, some serial and some parallel. The software {protocol} and command set is the same for each transport. This leads to a layered protocol definition similar to definitions found in networking. SCSI-3 is therefore in fact the sum of a number of separate standards which are defined by separate groups. These standards and groups are currently: X3T9.2/91-13R2 SCSI-3 Generic Packetized Protocol X3T9.2/92-141  SCSI-3 Queuing Model X3T9.2/92-079  SCSI-3 Architecture Model IEEE P1394   High Performance Serial Bus X3T9.2/92-106  SCSI-3 Block Commands X3T9.2/91-189  SCSI-3 Serial Bus Protocol X3T9.2/92-105  SCSI-3 SCSI-3 Core Commands SCSI-3 Common Command Set X3T9.2/92-108  SCSI-3 Graphic Commands X3T9.2/92-109  SCSI-3 Medium Changer Commands X3T9.2/91-11   SCSI-3 Interlocked Protocol X3T9.2/91-10   SCSI-3 Parallel Interface X3T9.2/92-107  SCSI-3 Stream Commands SCSI-3 Scanner Commands Additional Documents for the Fibre Channel are also meant to be included in the SCSI-3 framework, i.e.: Fibre Channel SCSI Mapping Fibre Channel Fabric Requirements Fibre Channel Low Cost Topologies X3T9.3/92-007  Fibre Channel Physical and Signalling Interface Fibre Channel Single Byte Commands Fibre Channel Cross Point Switch Topology X3T9.2/92-103  SCSI-3 Fibre Channel Protocol (GPP & SBP) As all of this is an ongoing effort of considerable complexity, document structure and workgroups may change. No final standard is issued yet. In the meantime a group of manufacturers have proposed an extension of {SCSI-2} called {Ultra-SCSI} which doubles the transfer speed of {Fast-SCSI} to give 20MByte/s on an 8 bit connection and 40MByte/s on a 16-bit connection. [Hermann Strass: "SCSI-Bus erfolgreich anwenden", Franzis-Verlag Muenchen 1993]. (1995-04-19)

second generation 1. "language" {second generation language}. 2. "architecture" {second generation computer}.

second generation computer "architecture" A computer built from {transistors}, designed between the mid-1950s and mid-1960s. {Ferrite core memory} and {magnetic drums} replaced {cathode ray tubes} and {delay-line storage} for main {memory}. {Index registers} and {floating point} arithmetic hardware became widespread. Machine-independent {high level programming languages} such as {ALGOL}, {COBOL} and {Fortran} were introduced to simplify programming. {I/O processors} were introduced to supervise input-output operations independently of the {CPU} thus freeing the CPU from time-consuming housekeeping functions. The CPU would send the I/O processor an initial instruction to start operating and the I/O processor would then continue independently of the CPU. When completed, or in the event of an error, the I/O processor sent an {interrupt} to the CPU. {Batch} processing became feasible with the improvement in I/O and storage technology in that a batch of jobs could be prepared in advance, stored on magnetic tape and processed on the computer in one continuous operation placing the results on another magnetic tape. It became commonplace for auxiliary, small computers to be used to process the input and output tapes off-line thus leaving the main computer free to process user programs. Computer manufacturers began to provide system software such as {compilers}, {subroutine} libraries and batch monitors. With the advent of second generation computers it became necessary to talk about computer systems, since the number of memory units, processors, I/O devices, and other system components could vary between different installations, even though the same basic computer was used. The instruction repertoire of the {IBM 7094} (a typical second generation machine) had over 200 instructions including data transfer instructions for transferring a {word} of information between the CPU and memory or between two CPU registers; fixed-point and floating point arithmetic instructions; {logic} instructions (AND, OR etc.); instructions for modifying {index registers}; conditional and unconditional branching; {subroutines}; input-output operations for transferring data between I/O devices and main memory. (1996-11-25)

Seder V, Kodashim (holy things), 11 tractates: sacrifices, slaughter of animals, ritual dietetics, first born animals, vows, excommunication, sacrilege, temple architecture and rituals.

segmented address space "architecture" An addressing scheme where all memory references are formed by adding an offset to a base address held in a segment {register}. The effect is to segment memory into blocks, which may overlap either partially or completely, depending on the contents of the segment registers but normally they would be distinct to give access to the maximum total range of addresses. In this case the scheme does provide some degree of {memory protection} within a single process since, for example, a data reference cannot affect an area of memory containing code. However, compilers must either generate slower code or code with artificial limits on the size of {data structures}. The best known implementation is that used on the {Intel 8086} and later Intel {microprocessors}, where a 16-bit {offset} is added to a 16-bit base address held in one of four segment base registers. Each instruction has a default segment (code (CS), data (DS), stack (SS), ? (ES)) which determines which segment register is used. Special prefix instructions allow this default to be overridden. Other computers, such as {GE-645}/{Honeywell Multics}, {Burroughs} large systems ({B-5500}, {B-6600}), and others, have used segmentation to good effect. Opposite: {flat address space}. See also {addressing mode}. [In what way were the others better than Intel's {brain damaged} implementation?]. (2004-06-01)

segment /seg'ment/ 1. "architecture" A collection of {pages} in a {memory management} system. 2. "programming" A separately relocatable section of an executable program. {Unix} executables have a {text segment} (executable {machine instructions}), a {data segment} (initialised data) and a {bss segment} (uninitialised data). 3. "networking" {network segment}. 4. To experience a {segmentation fault}. Confusingly, the stress is often put on the first syllable, like the noun "segment", rather than the second like mainstream verb "segment". This is because it is actually a noun shorthand that has been verbed. 5. A block of memory in a {segmented address space}. [{Jargon File}] (2004-02-27)

sequential processing "architecture" (Or "serial processing") Running a single {task} to completion on a single {processor}, in contrast to {parallel processing} or {multitasking}. (1995-04-23)

serial 1. "communications" {serial communications} 2. "architecture" {serial processor}.

serial processor "architecture" A computer whose {central processing unit} performs a single machine-level operation at a time. This term would be used mostly in contrast to a {parallel processor}. (2008-03-14)

Serial Storage Architecture "storage" (SSA) IBM's proposed ANSI standard for a standard high-speed interface to disk clusters and arrays. SSA allows {full-duplex} {packet multiplexed} serial data transfers at rates of 20Mb/sec in each direction. According to John Taylor, programme manager at IBM's Storage Division at Havant, SSA will be used in arrays of discs working with high-end computers ranging from mainframes down to LAN servers. Taylor said that SSA differs from the {IEEE} proposed {P1394} serial interface specification in its ability to offer simultaneous multiplexed transfers from more than one disk or array. IBM also supports the P1394 standard which will be used primarily by desktop PCs for {multimedia} applications. SSA has received backing from a number of companies including connector makers Molex, ITT Cannon and AMP, disk drive makers Conner and Western Digital and RAID array suppliers like Dynatech and NCR. IBM expects to see the first SSA products released at Comdex in Autumn 1994 but it will be 1995 before the products ship in volume. Under an agreement signed with {ASIC} maker and {ARM} licencee {VLSI Technology}, IBM will use ARM-based chips made by VLSI to implement the SSA interface and VLSI will make these cores available to third parties as one of its Functional System Blocks.

service-oriented architecture "software, architecture" (SOA) Systems built from loosely-coupled {software} modules deployed as {services}, typically communicating via a {network}. This allows different modules to be implemented and deployed in different ways, e.g. owned by different organisations, developed by different teams, written in different {programming languages}, running on different {hardware} and {operating systems}. The key to making it work is {interoperability} and {standards} so that modules can exchange data. SOAs often support {service discovery}, allowing a service to be changed without having to explicitly reconnect all its clients. Many different frameworks have been developed for SOA, including {SOAP}, {REST}, {RPC}, {DCOM}, {CORBA}, {web services} and {WCF}. (2009-01-23)

set associative cache "architecture" A compromise between a {direct mapped cache} and a {fully associative cache} where each address is mapped to a certain set of cache locations. The address space is divided into blocks of 2^m bytes (the {cache line} size), discarding the bottom m address bits. An "n-way set associative" cache with S sets has n cache locations in each set. Block b is mapped to set "b mod S" and may be stored in any of the n locations in that set with its upper address bits as a tag. To determine whether block b is in the cache, set "b mod S" is searched associatively for the tag. A direct mapped cache could be described as "one-way set associative", i.e. one location in each set whereas a fully associative cache is N-way associative (where N is the total number of blocks in the cache). Performance studies have shown that it is generally more effective to increase the number of entries rather than associativity and that 2- to 16-way set associative caches perform almost as well as fully associative caches at little extra cost over direct mapping. (2004-10-18)

SEX /seks/ [Sun Users' Group & elsewhere] 1. Software EXchange. A technique invented by the blue-green algae hundreds of millions of years ago to speed up their evolution, which had been terribly slow up until then. Today, SEX parties are popular among hackers and others (of course, these are no longer limited to exchanges of genetic software). In general, SEX parties are a {Good Thing}, but unprotected SEX can propagate a {virus}. See also {pubic directory}. 2. The {mnemonic} often used for Sign EXtend, a machine instruction found in the {PDP-11} and many other architectures. The {RCA 1802} chip used in the early {Elf} and SuperElf {personal computers} had a "SEt X register" SEX instruction, but this seems to have had little folkloric impact. DEC's engineers nearly got a {PDP-11} {assembler} that used the "SEX" mnemonic out the door at one time, but (for once) marketing wasn't asleep and forced a change. That wasn't the last time this happened, either. The author of "The Intel 8086 Primer", who was one of the original designers of the {Intel 8086}, noted that there was originally a "SEX" instruction on that processor, too. He says that Intel management got cold feet and decreed that it be changed, and thus the instruction was renamed "CBW" and "CWD" (depending on what was being extended). The {Intel 8048} (the {microcontroller} used in {IBM PC} keyboards) is also missing straight "SEX" but has logical-or and logical-and instructions "ORL" and "ANL". The {Motorola 6809}, used in the UK's "{Dragon 32}" {personal computer}, actually had an official "SEX" instruction; the {6502} in the {Apple II} with which it competed did not. British hackers thought this made perfect mythic sense; after all, it was commonly observed, you could (on some theoretical level) have sex with a dragon, but you can't have sex with an apple. [{Jargon File}] (1998-03-03)

shipbuilding ::: n. --> Naval architecturel the art of constructing ships and other vessels.

single program/multiple data "parallel, architecture" (SPMD) A kind of {parallel processing} where the same program is run on multiple processors. Every instance of the program knows which part of the computation it should perform and the results of the computation are combined somehow. (2002-04-21)

SISAL "language" (Streams and Iteration in a Single Assignment Language) A general-purpose {single assignment} {functional programming language} with {strict} semantics, automatic parallelisation and efficient {arrays}. Outputs a dataflow graph in {IF1} (Intermediary Form 1). Derived from {VAL}, adds {recursion} and finite {streams}. {Pascal}-like syntax. Designed to be a common high-level language for numerical programs on a variety of {multiprocessors}. Implementations exist for {Cray X-MP}, {Cray Y-MP}, {Cray-2}, {Sequent}, {Encore Alliant}, {dataflow} architectures, {transputers} and {systolic arrays}. Defined in 1983 by James McGraw et al, {Manchester University}, {Lawrence Livermore National Laboratory}, {Colorado State University} and {DEC}. Revised in 1985. First compiled implementation in 1986. Performance superior to {C} and competitive with {Fortran}, combined with efficient and automatic parallelisation. Not to be confused with {SASL}. E-mail: John Feo "feo@llnl.gov", Rod Oldehoeft "rro@cs.colostate.edu". David C. Cann has written an {Optimising SISAL Compiler (ftp://sisal.llnl.gov/pub/sisal)} (OSC) which attempts to make efficient use of {parallel processors} such as {Crays}. ["A Report on the SISAL Language Project", J.T. Feo et al, J Parallel and Distrib Computing 10(4):349-366 (Dec 1990)]. (2000-07-07)

SLLIC "language" An intermediate language developed at {HP}. An infinite-register version of the {Precision Architecture} {instruction set}? (1995-05-28)

SNA {Systems Network Architecture}

SOA 1. "architecture" {service-oriented architecture}. 2. "networking" {start of authority}.

SOAR 1. State, Operator And Result. A general problem-solving {production system} architecture, intended as a model of human intelligence. Developed by A. Newell in the early 1980s. SOAR was originally implemented in {Lisp} and {OPS5} and is currently implemented in {Common Lisp}. Version: Soar6. E-mail: "soar@cs.cmu.edu". ["The SOAR Papers", P.S. Rosenbloom et al eds, MIT Press 1993]. (1994-11-04) 2. Smalltalk On A RISC. A {RISC} {microprocessor} designed by David Patterson's at Berekeley. (1994-11-04)

SoftModem The integration of {modem} controller and {data pump} {algorithms} into a single {RAM}-based {DSP} hardware architecture. These integrated algorithms are stored on the computer's hard disk, from which they are downloaded into the DSP board's random-access memory (RAM). This downloading, or "booting" process of the PC-installed software algorithms occurs as part of the computer's power-up initialisation process in less than 100 milliseconds, making it transparent to the user. [Digicom Modem FAQ version 2.03].

SOLID "programming" An acronym for some principles of good {software architecture}, originally compiled by {Robert C. Martin} in the 1990s. The letters stand for: - SRP {Single Responsibility Principle} - OCP {Open Closed Principle} - LSP {Liskov Substitution Principle} - ISP {Interface Segregation Principle} - DIP {Dependency Inversion Principle} (2017-11-15)

southbridge "architecture" The {integrated circuit} in a {core logic} {chip set} that controls the {IDE} bus, {USB}, {plug-n-play} support, the {PCI}-{ISA} bridge, keyboard/mouse controller, {power management}, and various other features. One brand provides {sound card} functions. Other functions are provided by the {northbridge} chip. {(http://maximumpc.com/terminator/terminator_s.html)}. (2000-01-15)

SP/2 Scalable POWERparallel 2. A line of {RISC}-based processors from {IBM} using {symmetric multi-processing}. SP/2 replaced SP/1. The SP2 is a classical {MPP} design, based on a {Shared Nothing} architecture. The SP2 is an example of the {Distributed Memory Processor} (DMP) parallel model, with individual nodes interconnected over a {LAN}, or a High-Performance Switch (HPS). SP2 systems can have from 2 to 512 nodes. Each node is a {RISC system/6000} running {IBM}'s {AIX} {operating system}. The SP2 supports applications in both technical and commercial environments. In terms of commercial applications, the SP2 is typically being used in support of, {MIS}/{DSS} including {data mining}, {business applications} e.g. {SAP}, {Alternative Mainframe}/{Mainframe Offload}, {LAN Server Consolidation}. (1995-03-07)

SPARC 1. "processor" {Scalable Processor ARChitecture}. 2. "database" {ANSI/SPARC Architecture}. (1999-02-27)

SPARC International, Inc. "body" An organisation established to promote the {Scalable Processor ARChitecture} (SPARC). Their main service is conformance testing. They also produce the "SPARC flash" newsletter and publish lists of SPARC compliant machines tested by SPARC International to be {binary compatible} with other compliant machines. {(http://sparc.com/)}. SPARC(R) is a registered trademark of SPARC International, Inc. in the United States and other countries. (1995-01-04)

SPARCStation "computer" A family of {workstations} from {Sun Microsystems} based on the {SPARC} architecture. Models include the {SPARCStation 1}, 1+, SLC, {SPARCStation ELC}, IPX, {SPARCStation 5}, {SPARCStation 10} and {SPARCStation 20}. (1994-11-23)

Special Interest Group (SIG) One of several technical areas, sponsored by the {Association for Computing Machinery}. Well-known SIGs include SIGPLAN (the Special Interest Group on Programming Languages), SIGARCH (the Special Interest Group for Computer Architecture) and SIGGRAPH (the Special Interest Group for Computer Graphics). (1994-10-27)

SSA {Single Static Assignment} {Serial Storage Architecture}

SSE-2 "architecture" {Intel Corporation}'s extention of their {SSE} {floating point} {SIMD} instructions to handle 64-bit floating point numbers. SSE-2 was introduced with the {Pentium 4}. (2001-12-23)

stack pointer "architecture, programming" (SP) A {register} or {variable} pointing to the top of a {stack}. (2004-11-08)

stack "programming" (See below for synonyms) A data structure for storing items which are to be accessed in last-in first-out order. The operations on a stack are to create a new stack, to "push" a new item onto the top of a stack and to "pop" the top item off. Error conditions are raised by attempts to pop an empty stack or to push an item onto a stack which has no room for further items (because of its implementation). Most processors include support for stacks in their {instruction set architectures}. Perhaps the most common use of stacks is to store {subroutine} arguments and return addresses. This is usually supported at the {machine code} level either directly by "jump to subroutine" and "return from subroutine" instructions or by {auto-increment} and auto-decrement {addressing modes}, or both. These allow a contiguous area of memory to be set aside for use as a stack and use either a special-purpose {register} or a general purpose register, chosen by the user, as a {stack pointer}. The use of a stack allows subroutines to be {recursive} since each call can have its own calling context, represented by a stack frame or {activation record}. There are many other uses. The programming language {Forth} uses a data stack in place of variables when possible. Although a stack may be considered an {object} by users, implementations of the object and its access details differ. For example, a stack may be either ascending (top of stack is at highest address) or descending. It may also be "full" (the stack pointer points at the top of stack) or "empty" (the stack pointer points just past the top of stack, where the next element would be pushed). The full/empty terminology is used in the {Acorn Risc Machine} and possibly elsewhere. In a list-based or {functional language}, a stack might be implemented as a {linked list} where a new stack is an empty list, push adds a new element to the head of the list and pop splits the list into its head (the popped element) and tail (the stack in its modified form). At {MIT}, {pdl} used to be a more common synonym for stack, and this may still be true. {Knuth} ("The Art of Computer Programming", second edition, vol. 1, p. 236) says: Many people who realised the importance of stacks and queues independently have given other names to these structures: stacks have been called push-down lists, reversion storages, cellars, dumps, nesting stores, piles, last-in first-out ("LIFO") lists, and even yo-yo lists! [{Jargon File}] (1995-04-10)

stack puke Some processor architectures are said to "puke their guts onto the stack" to save their internal state during {exception} processing. The {Motorola 68020}, for example, regurgitates up to 92 bytes on a {bus fault}. On a {pipeline}d machine, this can take a while. [{Jargon File}] (1994-11-01)

Standard ML "language" (SML) Originally an attempt by Robin Milner "rm@lfcs.edinburgh.ac.uk" ca. 1984 to unify the dialects of {ML}, SML has evolved into a robust general-purpose language. Later versions have been maintained by D. B. MacQueen, Lal George "george@research.att.com", and J. H. Reppy "jhr@research.att.com" at AT&T, and A. W. Appel "appel@princeton.edu". SML is {functional}, with {imperative programming} features. It is environment based and {strict}. It adds to ML the {call-by-pattern} of {Hope}, {recursive data types}, {reference types}, typed {exceptions}, and {modules}. (The "core" language excludes the modules). Standard ML is {polymorphic}ally typed and its module system supports flexible yet secure large-scale programming. {Standard ML of New Jersey} is an optimising {native-code compiler} for Standard ML that is written in Standard ML. It runs on a wide range of architectures. The distribution also contains: an extensive library - The Standard ML of New Jersey Library, including detailed documentation; {Concurrent ML} (CML); {eXene} - an elegant interface to {X11} (based on {CML}); {SourceGroup} - a {separate compilation} and "{make}" facility. Implementations: {SML/NJ}, {POPLOG ML}, {Poly/ML}, {Edinburgh SML}, {ANU ML}, {Micro ML}, {lazy sml2c}. {sml2c} compiles to {C}. See also {ML Kit}. Version 0.93 runs on {68000}, {SPARC}, {MIPS}, {HPPA}, {RS/6000}, {Intel 386}, {Intel 486} and {Macintosh}. {Manual (http://dcs.napier.ac.uk/course-notes/sml/manual.html)}. {FTP from ATT (ftp://research.att.com/dist/ml/)}. {FTP from Suny SB (ftp://sbcs.sunysb.edu/)}. Mailing list: sml-request@cs.cmu.edu. ["A Proposal for Standard ML", R. Milner, ACM Symp on LISP and Functional Prog 1984, pp. 184-197]. (1995-12-24)

Standard Operating Environment "standard" (SOE) A specification of the {architecture}, {operating systems}, {application set} and configuration of computers within an organisation. (2007-06-11)

state "storage, architecture, jargon, theory" How something is; its configuration, attributes, condition or information content. The state of a system is usually temporary (i.e. it changes with time) and volatile (i.e. it will be lost or reset to some initial state if the system is switched off). A state may be considered to be a point in some {space} of all possible states. A simple example is a light, which is either on or off. A complex example is the electrical activation in a human brain while solving a problem. In computing and related fields, states, as in the light example, are often modelled as being {discrete} (rather than continuous) and the transition from one state to another is considered to be instantaneous. Another (related) property of a system is the number of possible states it may exhibit. This may be finite or infinite. A common model for a system with a finite number of discrete state is a {finite state machine}. [{Jargon File}] (1996-10-13)

STD 1 "standard" The {Internet Architecture Board} official list of {Internet} {standards}. [Postel, J., "IAB Official Protocol Standards", STD 1, RFC 1360, Internet Architecture Board, September 1992]. (1995-02-07)

sthapatya ::: (literally) architecture; (by extension) sculpture; sculpsthapatya tural; three-dimensional images (rūpa) or writing (lipi) seen in relief, as if sculptured, on a background from which the mental eye draws its material (cf. citra); short for sthapatyadr.s.t.i.

Storage Area Network "storage" (SAN) A high-speed subnetwork of shared storage devices. A storage device is a machine that contains nothing but a disk or disks for storing data. A SAN's architecture works in a way that makes all storage devices available to all servers on a LAN or WAN. As more storage devices are added to a SAN, they too will be accessible from any server in the larger network. The server merely acts as a pathway between the end user and the stored data. Because stored data does not reside directly on any of a network's servers, server power is used for business applications, and network capacity is released to the end user.

Streaming SIMD Extensions "architecture" (SSE) {Intel Corporation}'s {floating point} {SIMD} extention of their {Pentium} {microprocessor} architecture. SSE was formerly know as KNI (Katmai New Instructions). It was introduced with the {Pentium III}. {Intel Pentium III (http://developer.intel.com/design/pentiumiii/prodbref/)}. {ipoem (http://ipoem.com/technology/Docs/pentium4.html)}. (2003-07-13)

STREAM ["STREAM: A Scheme Language for Formally Describing Digital Circuits", C.D. Kloos in PARLE: Parallel Architectures and Languages Europe, LNCS 259, Springer 1987]. (1995-01-30)

StrongARM "processor" A collaborative project between {Digital Equipment Corporation} and {Advanced RISC Machines} Ltd. (ARM) announced on 1995-02-06 licensing the {ARM} {RISC} architecture to {Digital Semiconductor} for the development of high-performance, low power {microprocessors}. The StrongARM family of 32-bit RISC products developed under the agreement are faster versions of the existing ARM processors with a somewhat different {instruction set}. They are targetted at applications such as next-generation {personal digital assistants} with improved user interfaces and communications; {interactive television} and set-top products; video games and {multimedia} {edutainment} systems with realistic imaging, motion and sound; and digital imaging, including low cost digital image capture and photo-quality scanning and printing. The StrongARM family has limited software compatibility with the {ARM6}, {ARM7} and {ARM8} families due to its separate {caches} for data and instructions which causes {self-modifying code} to fail. The {SA-110} is the first member of the family. (1998-09-07)

Sun-3 Workstation "computer" A {Unix} {workstation} produced by {Sun Microsystems, Inc.} in the 1980s, based on the {Motorola 68020}. Successor to the {Sun-2 Workstation}, followed by the {Sun-4 Workstation}. The Sun-3 had a custom {MMU}. A couple of mutant models used an entirely different architecture. [Details? Dates?] (2001-03-11)

superpipelined 1. Traditional {pipelined} architectures have a single pipeline stage for each of: instruction fetch, instruction decode, memory read, {ALU} operation and memory write. A superpipelined {processor} has a {pipeline} where each of these logical steps may be subdivided into multiple {pipeline} stages. 2. Marketese for {pipelined}.

superscalar "architecture" A superscalar architecture is a {uniprocessor} that can execute two or more {scalar} operations in parallel. Some definitions include {superpipelined} and {VLIW} architectures; others do not. Superscalar architectures (apart from superpipelined architectures) require multiple {functional units}, which may or may not be identical to each other. In some superscalar processors the order of instruction execution is determined statically (purely at compile-time), in others it is determined dynamically (partly at run time).

SuperZap "tool, IBM" An {IBM} {utility program} used to quickly {patch} {operating system} or {application program} executable {code} in preference to editing the {source code} and recompiling. The SuperZAP program was a quick hack written by one IBM Engineer, possibly from IBM UK, in the late 1960s to directly fix executable files. He needed to fix a bug but it would have taken hours to rebuild the vast {OS/360} executables. The {S/360} architecture has an instruction ZAP (Zero and Add Packed) for {packed decmial} arithmetic, that sets the byte at a given address to a given value. Superzap used this to write data given as a string of hex digits to a given location in an executable file in a matter of seconds. Soon the IBM development labs were releasing all Programming Temporary Fixes (PTFs) to OS/360 in this form. OS/360 included a version called IMASPZAP or AMASPZAP which persisted through {MVS}, {MVS/SP}, {MVS/XA}, {OS/390} and probably still remains in {z/OS}, the distant descendent of OS/360. [Private 2004-02-05 e-mail from Chris Gage, IBM employee and SuperZap user, 1970-]. (2007-03-15)

Swing "programming" {Java}'s {graphical user interface} (GUI) package that provides a large collection of {widgets} (buttons, labels, lists etc.) that behave similarly on different {platforms}. Swing features "pluggable look & feel", allowing the program to look like a {Windows}, {Motif} or {Macintosh) application. It is implemented using the {Model-View-Controller} (MVC) architecture and makes extensive use of nested "containers" to control the handling of {events} such as keystrokes. {(http://java.sun.com/j2se/1.3/docs/api/javax/swing/package-summary.html)}. (2007-05-30)

System/360 "computer" The generic name for the {CPUs} and architecture released by {IBM} on 1964-04-07. The 360 was marketed as a general purpose computer with 'all round' functionality - hence 360 (degrees). Models ranged from the 360/20 to the 360/65 and later the 360/95, with typical memory configurations from 16K to 1024K. Elements of the architecture, such as the basic {instruction set} are still in use on IBM {mainframes} today. Operating System/360 ({OS/360}) was developed for System/360. Other associated {operating systems} included {DOS}, {OS/MFT} and {OS/MVT}. The 360 architecture was based on an 8-bit {byte}, 16 general purpose {registers}, 24-bit addressing, and a PSW (Program Status Word) including a location counter. {Gene Amdahl}, then an IBM employee, is generally acknowledged as the 360's chief architect. He later went on to found {Amdahl Corporaton}, a manufacture of {PCM} {mainframe} equipment. The 360's predecessors were the smaller {IBM 1401} and the large {IBM 7090} series. If was followed by the {IBM 370}. See also {ABEND}, {ALC}, {BAL}, {Big Red Switch}, {HCF}, {mode bit}, {PL360}, {PL/S}. (2004-06-06)

Systems Application Architecture "programming" (SAA) {IBM}'s family of standard interfaces which enable {software} to be written independently of {hardware} and {operating system}. (1997-04-25)

Systems Network Architecture "networking" (SNA) {IBM}'s proprietary high level networking {protocol} {standard}, used by IBM and IBM compatible {mainframes}. Also referred to as "Blue Glue", SNA is a bletcherous protocol once widely favoured at commercial shops. The official IBM definition is "that which binds blue boxes together." It may be relevant that {Blue Glue} is also a 3M product commonly used to hold down carpets in {dinosaur pens}. [{Jargon File}] (1994-11-23)

systolic array "architecture, parallel" (By analogy with the regular pumping of blood by the heart) An arrangement of processors in an array (often rectangular) where data flows synchronously across the array between neighbours, usually with different data flowing in different directions. H. T. Kung and Charles Leiserson publish the first paper describing systolic arrays in 1978 [reference?]. Each processor at each step takes in data from one or more neighbours (e.g. North and West), processes it and, in the next step, outputs results in the opposite direction (South and East). An example of a systolic {algorithm} might be matrix multiplication. One matrix is fed in a row at a time from the top of the array and is passed down the array, the other matrix is fed in a column at a time from the left hand side of the array and passes from left to right. Dummy values are then passed in until each processor has seen one whole row and one whole column. At this point, the result of the multiplication is stored in the array and can now be output a row or a column at a time, flowing down or accross the array. See also {Ruby}, {SISAL}. (1998-07-01)

Task Control Block "architecture" An {MVS} {control block} used to communicate information about {tasks} within an {address space} that are connected to an {MVS} subsystem such as {MQSeries} for {MVS/ESA} or {CICS}. {FAQ (http://www-4.ibm.com/software/ts/mqseries/library/manuals/csqfao/CSQFAO22.HTM)}. (2000-12-30)

THEO A {frame language}. ["Theo: A Framework for Self-Improving Systems", Mitchell et al, in Architectures for Intelligence, K. VanLehn ed, Erlbaum, 1989]. (1994-12-14)

third generation computer "architecture" A computer built with small-scale integration {integrated circuits}, designed after the mid-1960s. Third generation computers use {semiconductor} memories in addition to, and later instead of, {ferrite core memory}. The two main types of semiconductor memory are {Read-Only Memory} (ROM) and read-and-write memories called {random-access memory} (RAM). A technique called {microprogramming} became widespread and simplified the design of the {CPUs} and increased their flexibility. This also made possible the development of {operating systems} as {software} rather than as hard-wiring. A variety of techniques for improving processing efficiency were invented, such as {pipelining}, (parallel operation of {functional units} processing a single instruction), and {multiprocessing} (concurrent execution of multiple programs). As the execution of a program requires that program to be in memory, the concurrent running of several programs requires that all programs be in memory simultaneously. Thus the development of techniques for concurrent processing was matched by the development of {memory management} techniques such as {dynamic memory allocation}, {virtual memory}, and {paging}, as well as {compilers} producing {relocatable code}. The {LILLIAC IV} is an example of a third generation computer. The CTSS ({Compatible Time-Sharing System}) was developed at {MIT} in the early 1960s and had a considerable influence on the design of subsequent timesharing operating systems. An interesting contrasting development in this generation was the start of mass production of small low-cost "{minicomputers}".

three-tier "architecture" A {client-server} architecture in which the {user interface}, functional process logic ("business rules") and data storage and access are developed and maintained as independent {modules}, most often on separate {platforms}. Apart from the usual advantages of modular software with well defined interfaces, the three-tier architecture is intended to allow any of the three tiers to be upgraded or replaced independently as requirements or technology change. For example, an upgrade of desktop {operating system} from {Microsoft Windows} to {Unix} would only affect the {user interface} code. Typically, the user interface runs on a desktop {PC} or {workstation} and uses a standard {graphical user interface}, functional process logic may consist of one or more separate modules running on a {workstation} or application {server}, and an {RDBMS} on a database server or {mainframe} contains the data storage logic. The middle tier may be multi-tiered itself (in which case the overall architecture is called an "n-tier architecture"). (1998-05-13)

Thumb "processor" An extension to the {Advanced RISC Machine} architecture, announced on 06 March 1995 by {Advanced RISC Machines} Ltd. By identifying the critical subset of the ARM {instruction set} and encoding it into 16 bits, ARM has succeeded in reducing typical program size by 30-40% from ARM's already excellent code density. Since this Thumb instruction set uses less memory for program storage, cost is further reduced. All Thumb-aware {processor cores} combine the capability to execute both the 32-bit ARM and the 16-bit Thumb instruction sets. Careful design of the Thumb instructions allow them to be decompressed into full ARM instructions transparently during normal instruction decoding without any performance penalty. This differs from other 32-bit processors, like the {Intel 486SX}, with a 16-bit data bus, which require two 16-bit memory accesses to execute every 32-bit instruction and so halve performance. The patented Thumb decompressor has been carefully designed with only a small amount of circuitry additional to the existing instruction decoder, so chip size and thus cost do not significantly increase. Designers can easily interleave fast ARM instructions (for performance critical parts of a program) with compact Thumb code to save memory. (1995-03-14)

Toyohashi University Parallel Lisp Environment "language" (TUPLE) A parallel {Lisp} based on {KCL}. ["Memory Management and Garbage Collection of an Extended Common Lisp System for Massively Parallel SIMD Architecture", Taiichi Yuasa, in Memory Management, IWMM92, Springer 1992, 490-507]. (1994-11-08)

Translation Look-aside Buffer "storage, architecture" (TLB) A table used in a {virtual memory} system, that lists the {physical address} {page} number associated with each {virtual address} {page} number. A TLB is used in conjunction with a {cache} whose tags are based on virtual addresses. The virtual address is presented simultaneously to the TLB and to the cache so that cache access and the virtual-to-physical address translation can proceed in parallel (the translation is done "on the side"). If the requested address is not cached then the physical address is used to locate the data in main memory. The alternative would be to place the translation table between the cache and main memory so that it will only be activated once there was a cache miss. (1995-01-30)

trophonian ::: a. --> Of or pertaining to Trophonius, his architecture, or his cave and oracle.

TRS-80 "computer" A series of {personal computers} sold by {Tandy Radio Shack}. The '80' refers to the use of {Zilog Z-80} processor (NOT {Intel 80x8x}). There were 7.5 computers in the TRS-80 line: Models I, II, III, 4, 100, 102, 200. The Model 4P was a portable version of the Model 4 with no tape drive -- only 2 1/2-height single sided disk drives. Later models that Radio Shack produced were not TRS-80 machines -- they were based on the {Intel 80x8x} architecture. These included Tandy 1000, Tandy 2000, Tandy 3000, and others. The 1000 had a proprietary Color card. The 2000 was a powerful machine for its time, but was based on the {Intel 80186}, so when {IBM} didn't build a computer based on this chip, it failed. It was used to design a boat for the America's Cup. The TRS-80 {GUI}, DeskMate, was proprietary, but no more than {Windoze} at the time. Many joke about "{TRaSh-80}" machines but several models were in fact classics of their time. (1996-02-18)

TSL-1 Task Sequencing Language. Language for specifying sequences of tasking events in Ada programs. ["Task Sequencing Language for Specifying Distributed Ada Systems", D.C. Luckham et al in PARLE: Parallel Architectures and Langs Europe, LNCS 259, Springer 1987, pp.444-463].

Turing Machine "computability" A hypothetical machine defined in 1935-6 by {Alan Turing} and used for {computability theory} proofs. It consists of an infinitely long "tape" with symbols (chosen from some {finite set}) written at regular intervals. A pointer marks the current position and the machine is in one of a finite set of "internal states". At each step the machine reads the symbol at the current position on the tape. For each combination of current state and symbol read, a program specifies the new state and either a symbol to write to the tape or a direction to move the pointer (left or right) or to halt. In an alternative scheme, the machine writes a symbol to the tape *and* moves at each step. This can be encoded as a write state followed by a move state for the write-or-move machine. If the write-and-move machine is also given a distance to move then it can emulate an write-or-move program by using states with a distance of zero. A further variation is whether halting is an action like writing or moving or whether it is a special state. [What was Turing's original definition?] Without loss of generality, the symbol set can be limited to just "0" and "1" and the machine can be restricted to start on the leftmost 1 of the leftmost string of 1s with strings of 1s being separated by a single 0. The tape may be infinite in one direction only, with the understanding that the machine will halt if it tries to move off the other end. All computer {instruction sets}, {high level languages} and computer architectures, including {parallel processors}, can be shown to be equivalent to a Turing Machine and thus equivalent to each other in the sense that any problem that one can solve, any other can solve given sufficient time and memory. Turing generalised the idea of the Turing Machine to a "Universal Turing Machine" which was programmed to read instructions, as well as data, off the tape, thus giving rise to the idea of a general-purpose programmable computing device. This idea still exists in modern computer design with low level {microcode} which directs the reading and decoding of higher level {machine code} instructions. A {busy beaver} is one kind of Turing Machine program. Dr. Hava Siegelmann of {Technion} reported in Science of 28 Apr 1995 that she has found a mathematically rigorous class of machines, based on ideas from {chaos} theory and {neural networks}, that are more powerful than Turing Machines. Sir Roger Penrose of {Oxford University} has argued that the brain can compute things that a Turing Machine cannot, which would mean that it would be impossible to create {artificial intelligence}. Dr. Siegelmann's work suggests that this is true only for conventional computers and may not cover {neural networks}. See also {Turing tar-pit}, {finite state machine}. (1995-05-10)

tuscan ::: a. --> Of or pertaining to Tuscany in Italy; -- specifically designating one of the five orders of architecture recognized and described by the Italian writers of the 16th century, or characteristic of the order. The original of this order was not used by the Greeks, but by the Romans under the Empire. See Order, and Illust. of Capital. ::: n.

TWENEX "operating system" /twe'neks/ The TOPS-20 {operating system} by {DEC} - the second proprietary OS for the {PDP-10} - preferred by most PDP-10 hackers over TOPS-10 (that is, by those who were not {ITS} or {WAITS} partisans). TOPS-20 began in 1969 as {Bolt, Beranek & Newman}'s {TENEX} operating system using special paging hardware. By the early 1970s, almost all of the systems on the {ARPANET} ran TENEX. DEC purchased the rights to TENEX from BBN and began work to make it their own. The first in-house code name for the operating system was VIROS (VIRtual memory Operating System); when customers started asking questions, the name was changed to SNARK so DEC could truthfully deny that there was any project called VIROS. When the name SNARK became known, the name was briefly reversed to become KRANS; this was quickly abandoned when someone objected that "krans" meant "funeral wreath" in Swedish (though some Swedish speakers have since said it means simply "wreath"; this part of the story may be apocryphal). Ultimately DEC picked TOPS-20 as the name of the operating system, and it was as TOPS-20 that it was marketed. The hacker community, mindful of its origins, quickly dubbed it TWENEX (a contraction of "twenty TENEX"), even though by this point very little of the original TENEX code remained (analogously to the differences between AT&T V6 Unix and BSD). DEC people cringed when they heard "TWENEX", but the term caught on nevertheless (the written abbreviation "20x" was also used). TWENEX was successful and very popular; in fact, there was a period in the early 1980s when it commanded as fervent a culture of partisans as Unix or ITS - but DEC's decision to scrap all the internal rivals to the VAX architecture and its relatively stodgy VMS OS killed the DEC-20 and put a sad end to TWENEX's brief day in the sun. DEC attempted to convince TOPS-20 users to convert to {VMS}, but instead, by the late 1980s, most of the TOPS-20 hackers had migrated to Unix. [{Jargon File}] (1995-04-01)

UFO "language" (United Functions and Objects) A hybrid {functional} and {object-oriented} language designed by John Seargant at {Manchester University} for general-purpose parallel computation. To a first approximation, UFO is a strict, higher-order functional language with an object-oriented type system, and strong support for numeric computation in the form of SISAL-style arrays and loops. Parallelism is implicit, and applies at various different levels of granularity, thereby facilitating implementations on a wide range of parallel architectures. It is planned to run it on a 64 processor {KSR} machine. (1996-08-22)

USB 1. "architecture" {Universal Serial Bus}. 2. "communications" {Upper Side-Band modulation}. (1997-07-16)

Valid A {dataflow} language. ["A List-Processing-Oriented Data Flow Machine Architecture", Makoto Amamiya et al, AFIPS NCC, June 1982, pp. 143-151]. (1995-02-14)

vaxocentrism /vak"soh-sen"trizm/ [analogy with "ethnocentrism"] A notional disease said to afflict C programmers who persist in coding according to certain assumptions that are valid (especially under Unix) on {VAXen} but false elsewhere. Among these are: 1. The assumption that dereferencing a null pointer is safe because it is all bits 0, and location 0 is readable and 0. Problem: this may instead cause an illegal-address trap on non-VAXen, and even on VAXen under OSes other than BSD Unix. Usually this is an implicit assumption of sloppy code (forgetting to check the pointer before using it), rather than deliberate exploitation of a misfeature. 2. The assumption that characters are signed. 3. The assumption that a pointer to any one type can freely be cast into a pointer to any other type. A stronger form of this is the assumption that all pointers are the same size and format, which means you don't have to worry about getting the casts or types correct in calls. Problem: this fails on word-oriented machines or others with multiple pointer formats. 4. The assumption that the parameters of a routine are stored in memory, on a stack, contiguously, and in strictly ascending or descending order. Problem: this fails on many RISC architectures. 5. The assumption that pointer and integer types are the same size, and that pointers can be stuffed into integer variables (and vice-versa) and drawn back out without being truncated or mangled. Problem: this fails on segmented architectures or word-oriented machines with funny pointer formats. 6. The assumption that a data type of any size may begin at any byte address in memory (for example, that you can freely construct and dereference a pointer to a word- or greater-sized object at an odd char address). Problem: this fails on many (especially RISC) architectures better optimised for {HLL} execution speed, and can cause an illegal address fault or bus error. 7. The (related) assumption that there is no padding at the end of types and that in an array you can thus step right from the last byte of a previous component to the first byte of the next one. This is not only machine- but compiler-dependent. 8. The assumption that memory address space is globally flat and that the array reference "foo[-1]" is necessarily valid. Problem: this fails at 0, or other places on segment-addressed machines like Intel chips (yes, segmentation is universally considered a {brain-damaged} way to design machines (see {moby}), but that is a separate issue). 9. The assumption that objects can be arbitrarily large with no special considerations. Problem: this fails on segmented architectures and under non-virtual-addressing environments. 10. The assumption that the stack can be as large as memory. Problem: this fails on segmented architectures or almost anything else without virtual addressing and a paged stack. 11. The assumption that bits and addressable units within an object are ordered in the same way and that this order is a constant of nature. Problem: this fails on {big-endian} machines. 12. The assumption that it is meaningful to compare pointers to different objects not located within the same array, or to objects of different types. Problem: the former fails on segmented architectures, the latter on word-oriented machines or others with multiple pointer formats. 13. The assumption that an "int" is 32 bits, or (nearly equivalently) the assumption that "sizeof(int) == sizeof(long)". Problem: this fails on {PDP-11s}, {Intel 80286}-based systems and even on {Intel 80386} and {Motorola 68000} systems under some compilers. 14. The assumption that "argv[]" is writable. Problem: this fails in many embedded-systems C environments and even under a few flavours of Unix. Note that a programmer can validly be accused of vaxocentrism even if he or she has never seen a VAX. Some of these assumptions (especially 2--5) were valid on the {PDP-11}, the original {C} machine, and became endemic years before the VAX. The terms "vaxocentricity" and "all-the-world"s-a-VAX syndrome' have been used synonymously. [{Jargon File}]

VAXstation A family of {workstations} from {DEC} based on their {VAX} computer architecture. (1995-02-03)

Very Efficient Speculative Parallel Architecture "project" (VESPA, Portuguese for "wasp") An {Edinburgh University} project using {speculative multithreading} to improve single-application and multiprogramming performance, and to increase {fault tolerance} and reliability. The project aims to develop a compilation environment to generate efficient speculative parallel code, including speculative parallelisation and speculative helper threads. Other research involves the development of optimized thread-level speculative architectures and novel uses of speculative multithreading, such as fault-tolerance. {VESPA Home (http://homepages.inf.ed.ac.uk/mc/Projects/VESPA/vespa.html)}. (2008-04-04)

Very Large Memory "architecture" (VLM) A {processor} and {operating system} that can use more than 4GB of {RAM}, which is the limit for systems using {32-bit} addresses. VLM architectures allow {application programs} and {Very Large Databases} with more than 4GB of data to be placed entirely in {physical memory}, with large performance enhancements. Some recent processors like the {DEC Alpha} can process 64 bits of data at a time and use addresses wider than 32 bits. {Digital Unix (http://unix.digital.com/unix/64bit/)}. (Solaris {http://sun.com/solaris/64bit.html}). (SGI {http://sgi.com/Technology/standard/faq.html}). (Unix 98 {http://UNIX-systems.org/version2/whatsnew/login_64bit.html}). [How wide are the address busses?] (1998-07-07)

Very Long Instruction Word "language, architecture" (VLIW) Used to describe a {machine code} {instruction set} implemented using {horizontal microcode}. A horizontally encoded instruction word which encodes four or more operations might be considered "very long". VLIW architectures are sometimes classified as a type of static {superscalar} architecture. They are static in the sense that which units operate in parallel is determined by the instruction rather than by {dynamic scheduling} at {run time}. Producing code for VLIW machines is difficult; {trace scheduling} is a helpful compiler technique. The most famous VLIW machine was built by (the late) {Multiflow Computer, Inc.} (1994-11-11)

VESPA {Very Efficient Speculative Parallel Architecture}

victim cache "architecture" An extension to a {direct mapped cache} that adds a small, secondary, {fully associative cache} to store cache blocks that have been ejected from the main cache due to a capacity or conflict miss. These ejected blocks are likely to be needed again so storing them in the secondary cache should increase performance. Victim caches with as few as five places have been found to reduce conflict misses, especially for small, direct-mapped data caches. E.g. a four-place victim cache removed 20% to 95% (depending on program) of such misses in a 4-KB cache. {(http://www.scism.sbu.ac.uk/ccsv/josephmb/CS-L2-MT/week12.html)}. (2007-02-23)

vignette ::: n. --> A running ornament consisting of leaves and tendrils, used in Gothic architecture.
A decorative design, originally representing vine branches or tendrils, at the head of a chapter, of a manuscript or printed book, or in a similar position; hence, by extension, any small picture in a book; hence, also, as such pictures are often without a definite bounding line, any picture, as an engraving, a photograph, or the like, which vanishes gradually at the edge.


Vint Cerf "person" (Vinton G. Cerf) The co-inventor with {Bob Kahn} of the {Internet} and its base {protocol}, {TCP/IP}. Like {Jon Postel}, he was crucial in the development of many higher-level protocols, and has written several dozen {RFCs} since the late 1960s. Vinton Cerf is senior vice president of Internet Architecture and Technology for {MCI WorldCom}. His team of architects and engineers design advanced Internet frameworks for delivering a combination of data, information, voice and video services for business and consumer use. In December 1997, President Clinton presented the U.S. National Medal of Technology to Cerf and his partner, Robert E. Kahn, for founding and developing the Internet. Prior to rejoining MCI in 1994, Cerf was vice president of the Corporation for National Research Initiatives (CNRI). As vice president of MCI Digital Information Services from 1982-1986, he led the engineering of {MCI Mail}, the first commercial e-mail service to be connected to the Internet. During his tenure from 1976-1982 with the U.S. Department of {Defense Advanced Research Projects Agency} (DARPA), Cerf played a key role leading the development of Internet and Internet-related data packet and security technologies. Cerf served as founding president of the {Internet Society} from 1992-1995 and is currently chairman of the Board. Cerf is a member of the U.S. Presidential Information Technology Advisory Committee (PITAC) and the Advisory Committee for Telecommunications (ACT) in Ireland. Cerf is a recipient of numerous awards and commendations in connection with his work on the Internet. In December 1994, People magazine identified Cerf as one of that year's "25 Most Intriguing People." In addition to his work on behalf of MCI and the Internet, Cerf serves as technical advisor to production for "Gene Roddenberry's Earth: Final Conflict," the number one television show in first-run syndication. He also made a special guest appearance in May 1998. Cerf also holds an appointment as distinguished visiting scientist at the Jet Propulsion Laboratory where he is working on the design of an interplanetary Internet. Cerf holds a Bachelor of Science degree in Mathematics from Stanford University and Master of Science and Ph.D. degrees in Computer Science from UCLA. He also holds honorary Doctorate degrees from the Swiss Federal Institute of Technology, Zurich; Lulea University of Technology, Sweden; University of the Balearic Islands, Palma; Capitol College and Gettysburg College. {(http://mci.com/cerfsup/)}. (1999-02-25)

virtual address 1. "architecture" A memory location accessed by an {application program} in a system with {virtual memory} such that intervening hardware and/or software maps the virtual address to real ({physical}) memory. During the course of execution of an application, the same virtual address may be mapped to many different {physical addresses} as data and programs are {paged out} and {paged in} to other locations. 2. In {IBM}'s {VM} {operating system}, {Virtual Device Location}. (2001-01-02)

virtual "jargon, architecture" (Via the technical term {virtual memory}, probably from the term "virtual image" in optics) 1. Common alternative to {logical}; often used to refer to the artificial objects (like addressable {virtual memory} larger than physical memory) created by a computer system to help the system control access to shared resources. 2. Simulated; performing the functions of something that isn't really there. An imaginative child's doll may be a virtual playmate. Opposite of {real} or physical. [{Jargon File}] (1994-11-30)

Virtual Machine "operating system" (VM) An {IBM} pseudo-{operating system} {hypervisor} running on {IBM 370}, {ESA} and {IBM 390} architecture computers. VM comprises CP ({Control Program}) and CMS ({Conversational Monitor System}) providing Hypervisor and personal computing environments respectively. VM became most used in the early 1980s as a Hypervisor for multiple {DOS/VS} and {DOS/VSE} systems and as IBM's internal operating system of choice. It declined rapidly following widespread adoption of the {IBM PC} and hardware partitioning in {microcode} on IBM {mainframes} after the {IBM 3090}. VM has been known as VM/SP (System Product, the successor to {CP/67}), VM/XA, and currently as VM/ESA (Enterprise Systems Architecture). VM/ESA is still in used in 1999, featuring a {web} interface, {Java}, and {DB2}. It is still a major IBM operating system. {(http://vmdev.gpl.ibm.com/)}. ["History of VM"(?), Melinda Varian, Princeton University]. (1999-10-31)

Virtual Storage Extended "operating system" (VSE, formerly DOS/VSE) is a {multitasking}, {IBM 370}-architected {operating system} similar to {Multiple Virtual Storage} (MVS). VSE run jobs in {partitions} rather than {address spaces}, and uses {POWER} for input/output rather than {JES}, but is largely similar to MVS. Subsequent VSE/{ESA} releases gave VSE the {XA-370} channel architecture, 31-bit virtual and real storage support, and data spaces. VSE is the {IBM} operating system on one-third of installed {IBM 4381s} and a significant proportion of {IBM 9370s} as well. It offers {transaction processing} and {batch processing} capabilities well beyond {Virtual Machine}'s current capabilities, and has a close affinity with MVS. (1997-06-09)

Virtual Telecommunications Access Method (VTAM) A data communications access method compatible with {IBM}'s {Systems Network Architecture}. [More detail?] (1995-01-30)

VLM 1. "architecture" {Very Large Memory}. 2. "networking" {Virtual Loadable Module}. (1998-02-24)

von Neumann architecture "architecture, computability" A computer {architecture} conceived by mathematician {John von Neumann}, which forms the core of nearly every computer system in use today (regardless of size). In contrast to a {Turing machine}, a von Neumann machine has a {random-access memory} (RAM) which means that each successive operation can read or write any memory location, independent of the location accessed by the previous operation. A von Neumann machine also has a {central processing unit} (CPU) with one or more {registers} that hold data that are being operated on. The CPU has a set of built-in operations (its {instruction set}) that is far richer than with the Turing machine, e.g. adding two {binary} {integers}, or branching to another part of a program if the binary integer in some register is equal to zero ({conditional branch}). The CPU can interpret the contents of memory either as instructions or as data according to the {fetch-execute cycle}. Von Neumann considered {parallel computers} but recognized the problems of construction and hence settled for a sequential system. For this reason, parallel computers are sometimes referred to as non-von Neumann architectures. A von Neumann machine can compute the same class of functions as a universal {Turing machine}. [Reference? Was von Neumann's design, unlike Turing's, originally intended for physical implementation?] {(http://salem.mass.edu/~tevans/VonNeuma.htm)}. (2003-05-16)

von Neumann machine {von Neumann architecture}

wait state "architecture" A delay of one or more {clock} cycles added to a processor's instruction execution time to allow it to communicate with slow external devices. The number and duration of wait states may be pre-configured or they may be controlled dynamically via certain control lines. (1996-10-16)

Web Service Definition Language "architecture" (WSDL) An {XML} format for describing network {services} as a set of endpoints operating on messages containing either "document oriented" or "procedure oriented" information. The operations and messages are described abstractly, and then bound to a concrete network protocol and message format to define an endpoint. Related concrete endpoints are combined into abstract endpoints (services). WSDL is typically used with {SOAP} over {HTTP} but it is extensible to allow description of endpoints and their messages independent of what message formats or network protocols. [Reference?] (2004-06-21)

White book CD-ROM "hardware, standard" A more open {CD-ROM} {standard} than {Green Book CD-ROM}. All films mastered on CD-ROM after March 1994 use White Book. Like Green Book, it is {ISO 9660} compliant, uses mode 2 form 2 addressing and can only be played on a {CD-ROM} drive which is {XA} (Extended Architecture) compatible. White book CDs are labelled "Video CD". (1994-11-02)

white paper "publication" A short treatise whose purpose is to educate industry customers. See, e.g., {Architecture Neutral Distribution Format}. (1997-10-24)

Windows Open Service Architecture "architecture, library, Microsoft" (WOSA) One of the mainstays of {Microsoft Windows}: the ethos of {abstraction} of core {services}. For each extension, Windows {Open} Services {Architecture} defines an {API} and an {SPI}, as well as a universal interface (usually placed in a single {DLL}) that both comply to. These then {transparent}ly let the {operating system} speak to {device drivers}, {database managers}, and other {low level} entities. These extensions include, among others, {ODBC} (called the "crowning jewel of WOSA"), {TAPI}, {WOSA/XFS}, {SAPI} and {MAPI}, and their supporting services, as well as the abstraction of access to {printers}, {modems}, and {networking services}, which run identically over {TCP/IP}, {IPX/SPX}, and {NetBEUI}. (2000-08-16)

wintel "jargon, architecture" A term describing any computer {platform} consisting of some version of {Microsoft Windows} running on an {Intel 80x86} processor or compatible. Despite the dominance of the wintel platform, in its many forms, from {MS-DOS} on an {Intel 8088} to {Windows 2000} on a {Pentium II Xeon}, there are many "non-wintel" {platforms} in use. These include {Acorn}, {Amiga}, {Apple}, {ARM}, {Atari}, {A\Box}, {Be}, {Network Computer}, {OS/2}, {PowerPC}, {Psion}, {Linux} and all other {Unix} systems. {Convergence International (http://convergence.org/)}. (1999-09-15)

word "storage" A fundamental unit of storage in a computer. The size of a word in a particular computer architecture is one of its chief distinguishing characteristics. The size of a word is usually the same as the width of the computer's {data bus} so it is possible to read or write a word in a single operation. An instruction is usually one or more words long and a word can be used to hold a whole number of characters. These days, this nearly always means a whole number of {bytes} (eight bits), most often 32 or 64 bits. In the past when six bit {character sets} were used, a word might be a multiple of six bits, e.g. 24 bits (four characters) in the {ICL 1900} series. (1994-11-11)

working memory "storage, architecture" The parts of {main memory} ({RAM}) currently in use. In a {production system}, working memory contains the facts, both initial and generated. (2005-06-17)

working set "architecture" The set of all pages (in a {paging} {virtual memory} system) used by a {process} during some time interval. As a result of {locality of reference}, the working set frequently consists of a relatively small fraction of a process's total virtual memory pages. While a process's entire working set is in {physical memory} the process will run without {page faults}. If the working set is too large for available physical memory, the process causes frequent {page faults}. In a {multitasking} environment, information about which pages are in each process's working set allows the memory management system to improve {CPU} efficiency by {prepaging} (also called the {working set model}). ["Modern Operating Systems", Andrew S. Tanenbaum, pub. Prentice Hall, Inc. 1992]. (1997-04-09)

WOSA {Windows Open Services Architecture}

write-back "memory management" A {cache} architecture in which data is only written to main memory when it is forced out of the cache. Opposite of {write-through}. See also {no-write allocation}. (1996-06-12)

write-through "architecture" (Or "write-thru") A {cache} architecture in which data is written to main memory at the same time as it is cached. Opposite of {write-back}. See also {buffered write-through}, {posted write-through}, {no-write allocation}. (1996-06-12)

XA {Extended Architecture} A specification for drives which can play {CD-ROMs} in {Green book CD-ROM} format. (1994-12-06)

Xerox Network System "networking" (XNS) A proprietary network architecture developed by the Xerox Office Systems Division of {Xerox corporation} at {Xerox PARC} in the late 1970s/early 1980s to run on {LAN} ({Ethernet}) and {WAN} networks. The XNS {protocol stack} provided {routing} and {packet delivery}. Implementations exist for {4.3BSD} derived systems and the {Xerox Star} computers. Novell based much of the lower layers of their protocol suite IPX/SPX on XNS. The main components are: Internet datagram protocol (IDP), Routing information protocol (RIP), Packet Exchange protocol (PEP), and Sequences packet protocol (SPP). XNS has strong parellels to {TCP/IP} in that the {network layer}, IDP, is roughly equivalent to IP. RIP has the same functions (and obviously name) as the routing information protocol, RIP. SPP, a connectionless transport layer protocol, is similar to {UDP}. PEP is also in the transport layer but is connection-oriented and similar to TCP. XNS specifically is no longer in use due to the all pervasiveness of IP. XNS denotes not only the protocol stack, but also an architecture of standard programming interfaces, conventions, and service functions for {authentication}, directory, filing, {e-mail}, and {remote procedure call}. XNS is also the name of Xerox's implementation. Many PC networking companies, such as {3Com}, {Banyan}, {Novell}, and {Ungermann-Bass Networks} used or use a variation of XNS as their primary transport protocol. XNS was desigined to be used across a variety of communication media, processors, and office applications. UB, (now a part of {Tandem Computers}) adopted XNS in developing its {Net/One} XNS routing protocol. [Or is it "Service(s)"? Date?] (2003-11-10)

Xilinx Netlist Format "language, electronics" (XNF) A {Hardware Description Language} for electronic circuit design, developed by {Xilinx, Inc.}. {Xilinx}-developed tools use XNF and handle the details of the {FPGA} architecture. Converters are available for a number of widely-used {HDLs} - for example {Verilog} ({xnf2ver}) - so that designers can use familiar tools to develop Logic Cell Array designs. In addition, XNF can be converted to the input language of different {logic simulators}. {Specification (ftp://ftp.xilinx.com/pub/documentation/xactstep6/xnfspec.pdf)}. (1999-03-31)

XPC eXplicitly Parallel C. A dialect of {Parallel C} which is efficiently compilable to both {SIMD} and {MIMD} architectures. Only research implementations exist. ["Toward Semantic Self-Consistency in Explicitly Parallel Languages," M.J. Phillip & H.G. Dietz, Proc 4th Intl Conf on Supercomputing, Santa Clara, CA, May 1989, v.1, pp.398-407]. (1994-12-01)

X server "graphics, operating system" A process, in an {X Window System} which controls a {bitmap display} device and usually also a {keyboard} and {mouse} or other {pointing device}. The X server performs operations on request from {client} {applications}, which may be on the same computer or a different computer connected via a {network}. Note that typical {client-server} architectures do {input-output} on the client and processing on the server whereas in X the terms are reversed as the X server is serving IO rather than processing resources to the application. If the two computers are not both {Unix} machines (e.g. one is a {Windows} machine running {VNC}) or if a more secure connection is required (e.g. tunneling with {ssh}), the clients may talk to a {proxy} X server that forwards the requests to another machine where the real IO takes place. (2006-08-29)

XT bus architecture "hardware, architecture" (After the {IBM PC XT}) An eight-bit {ISA} bus architecture used by {Intel 8086} and {Intel 8088} systems in the {IBM PC} and {IBM PC XT} in the 1980s. It predates the 16-bit ISA architecture used on the {Intel 80286} based machines. The XT bus has four {DMA} channels, of which three are brought out to the {expansion slots}. Of these three, two are normally allocated to machine functions: DMA channel Expansion Standard function 0    No {dynamic RAM} refresh 1    Yes add-on cards 2    Yes {floppy disk} controller 3    Yes {hard disc} controller (1997-09-15)

ZAPP Zero Assignment Parallel Processor. A virtual tree machine architecture in which a process tree is dynamically mapped onto a fixed, strongly connected network of processors communicating by message passing. The basic operation of each node is to apply a divide and conquer function which takes four arguments: (1) a function 'primitive' which takes a problem description (PD) and returns true if it can be solved without division, (2) a function 'solve' which takes a primitive PD and returns its solution, (3) a function 'divide' which takes a PD and returns a list of PDs of smaller problems and (4) a function 'combine' which returns the solution to a problem by combining a list of solutions of subproblems. Each node has a copy of the code and one is given the initial problem description. Task distribution is by process stealing in which a process constructs a descriptor for each subtask and idle (lightly loaded) processors can steal a descriptor from a physically connected neighbour.

Zilog Z280 An enhanced version of the {Zilog Z80} with a 16 bit architecture, introduced in July, 1987. It added an {MMU} to expand addressing to 16Mb, features for {multitasking}, a 256 byte {cache}, and a huge number of new {op codes} (giving a total of over 2000!). Its internal clock runs at 2 or 4 times the external clock (e.g. a 16MHz CPU with a 4MHz bus). (1994-10-31)

Zilog Z8 "processor" A family of {microcontrollers} from {Zilog} with on-chip {RAM} and {ROM}. The Z8 is not related to the {Zilog Z80}, it uses a totally different architecture and {instruction set}. Competitors include the {Motorola 6805}/68HC05 family or the {Intel 8051}-family (or i51-family or MCS51-family - there is no standard family name). (1995-04-22)



QUOTES [11 / 11 - 1500 / 1702]


KEYS (10k)

   2 Sri Aurobindo
   2 Johann Wolfgang von Goethe
   1 Wikipedia
   1 SWAMI RAMA
   1 Rene Guenon
   1 Nirodbaran
   1 Jordan Peterson
   1 John Adams
   1 Arthur C Clarke

NEW FULL DB (2.4M)

   37 Anonymous
   34 Frank Lloyd Wright
   27 Frank Gehry
   23 Rem Koolhaas
   19 Oscar Niemeyer
   17 Peter Zumthor
   17 John Ruskin
   16 Tadao Ando
   15 Le Corbusier
   14 Robert C Martin
   14 Rebecca Solnit
   12 Victor Hugo
   11 Alain de Botton
   10 Ralph Waldo Emerson
   10 Philip Johnson
   10 Ludwig Mies van der Rohe
   10 Louis Kahn
   10 Dean Koontz
   10 Arthur Erickson
   9 Maya Lin

1:Architecture is frozen music. ~ Johann Wolfgang von Goethe,
2:Music is liquid architecture; Architecture is frozen music. ~ Johann Wolfgang von Goethe,
3:The architecture of the Infinite
Discovered here its inward-musing shapes
Captured into wide breadths of soaring stone. ~ Sri Aurobindo, Savitri, The Growth of the Flame,
4:You are the architect of your life. You build your own philosophy and construct your own attitudes. Without right attitudes, the entire architecture remains shaky. Once you realize this fact, you will look within. ~ SWAMI RAMA,
5:There is a meaning in each curve and line.
It is an architecture high and grand
By many named and nameless masons built
In which unseeing hands obey the Unseen, ~ Sri Aurobindo, Savitri, The Way of Fate and the Problem of Pain
Meaning of this World
Our means must be as great as our ends. ~ Sri Aurobindo, Essays in Philosophy and Yoga, The Ideal of the Karmayogin,
6:The colossal labour Sri Aurobindo put forth to build this unique structure reminds me of one of those majestic ancient temples like Konarak or of a Gothic architecture like Notre Dame before which you stand and stare in speechless ecstasy, your soul takes a flight beyond time and space.

As it is, Savitri is, I suppose, the example par excellence of the future poetry he speaks of in his book The Future Poetry. Generation after generation will drink in its soul's nectar from this perennial source. ~ Nirodbaran,
7:[...] Thus the sedentary peoples create the plastic arts (architecture, sculpture, painting), the arts consisting of forms developed in space; the nomads create the phonetic arts (music, poetry), the arts consisting of forms unfolded in time; for, let us say it again, all art is in its origin essentially symbolical and ritual, and only through a late degeneration, indeed a very recent degeneration, has it lost its sacred character so as to become at last the purely profane 'recreation' to which it has been reduced among our contemporaries. ~ Rene Guenon, The Reign of Quantity and the Signs of the Times
8:The science of government it is my duty to study, more than all other sciences; the arts of legislation and administration and negotiation ought to take the place of, indeed exclude, in a manner, all other arts. I must study politics and war, that our sons may have liberty to study mathematics and philosophy. Our sons ought to study mathematics and philosophy, geography, natural history and naval architecture, navigation, commerce and agriculture in order to give their children a right to study painting, poetry, music, architecture, statuary, tapestry and porcelain. ~ John Adams, Letters of John Adams, Addressed to His Wife,
9:John von Neumann (/vɒn ˈnɔɪmən/; Hungarian: Neumann Janos Lajos, pronounced [ˈnɒjmɒn ˈjaːnoʃ ˈlɒjoʃ]; December 28, 1903 - February 8, 1957) was a Hungarian-American mathematician, physicist, inventor, computer scientist, and polymath. He made major contributions to a number of fields, including mathematics (foundations of mathematics, functional analysis, ergodic theory, geometry, topology, and numerical analysis), physics (quantum mechanics, hydrodynamics, and quantum statistical mechanics), economics (game theory), computing (Von Neumann architecture, linear programming, self-replicating machines, stochastic computing), and statistics.
   ~ Wikipedia,
10:Jordan Peterson's Book List
1. Brave New World - Aldous Huxley
2. 1984 - George Orwell
3. Road To Wigan Pier - George Orwell
4. Crime And Punishment - Fyodor Dostoevsky
5. Demons - Fyodor Dostoevsky
6. Beyond Good And Evil - Friedrich Nietzsche
7. Ordinary Men - Christopher Browning
8. The Painted Bird - Jerzy Kosinski
9. The Rape of Nanking - Iris Chang
10. Gulag Archipelago (Vol. 1, Vol. 2, & Vol. 3) - Aleksandr Solzhenitsyn
11. Man's Search for Meaning - Viktor Frankl
12. Modern Man in Search of A Soul - Carl Jung
13. Maps Of Meaning: The Architecture of Belief - Jordan B. Peterson
14. A History of Religious Ideas (Vol. 1, Vol. 2, Vol. 3) - Mircea Eliade
15. Affective Neuroscience - Jaak Panksepp ~ Jordan Peterson,
11:At first, needing the companionship of the human voice, he had listened to classical plays especially the works of Shaw, Ibsen, and Shakespeare - or poetry readings from Discovery's enormous library of recorded sounds. The problems they dealt with, however, seemed so remote, or so easily resolved with a little common sense, that after a while he lost patience with them.

So he switched to opera - usually in Italian or German, so that he was not distracted even by the minimal intellectual content that most operas contained. This phase lasted for two weeks before he realized that the sound of all these superbly trained voices was only exacerbating his loneliness. But what finally ended this cycle was Verdi's Requiem Mass, which he had never heard performed on Earth. The "Dies Irae," roaring with ominous appropriateness through the empty ship, left him completely shattered; and when the trumpets of Doomsday echoed from the heavens, he could endure no more.

Thereafter, he played only instrumental music. He started with the romantic composers, but shed them one by one as their emotional outpourings became too oppressive. Sibelius, Tchaikovsky, Berlioz, lasted a few weeks, Beethoven rather longer. He finally found peace, as so many others had done, in the abstract architecture of Bach, occasionally ornamented with Mozart. And so Discovery drove on toward Saturn, as often as not pulsating with the cool music of the harpsichord, the frozen thoughts of a brain that had been dust for twice a hundred years. ~ Arthur C Clarke, 2001: A Space Odyssey,

*** WISDOM TROVE ***

1:Talking about music is like dancing about architecture. ~ steve-martin, @wisdomtrove
2:The terrifying and edible beauty of Art Nouveau architecture. ~ salvador-dali, @wisdomtrove
3:Prose is architecture, not interior decoration, and the Baroque is over. ~ ernest-hemingway, @wisdomtrove
4:He who does not master the nude cannot understand the principles of architecture. ~ michelangelo, @wisdomtrove
5:Lovely promise and quick ruin are seen nowhere better than in Gothic architecture. ~ george-santayana, @wisdomtrove
6:The ancient Romans built their greatest masterpieces of architecture for wild beasts to fight in. ~ voltaire, @wisdomtrove
7:It is therefore indisputable that the limbs of architecture are derived from the limbs of man. ~ michelangelo, @wisdomtrove
8:Nothing can be more airy and beautiful than the transparent seed-globe-a fairy dome of splendid architecture. ~ henry-ward-beecher, @wisdomtrove
9:A man of eighty has outlived probably three new schools of painting, two of architecture and poetry and a hundred in dress. ~ lord-byron, @wisdomtrove
10:The building is a special place because of its architecture; But it's people who make it special by participating in it. ~ charlie-chaplan, @wisdomtrove
11:All architecture is great architecture after sunset; perhaps architecture is really a nocturnal art, like the art of fireworks. ~ g-k-chesterton, @wisdomtrove
12:The elms of New England! They are as much a part of her beauty as the columns of the Parthenon were the glory of its architecture. ~ henry-ward-beecher, @wisdomtrove
13:Architecture has recorded the great ideas of the human race. Not only every religious symbol, but every human thought has its page in that vast book. ~ victor-hugo, @wisdomtrove
14:I was planning to go into architecture. But when I arrived, architecture was filled up. Acting was right next to it, so I signed up for acting instead. ~ g-k-chesterton, @wisdomtrove
15:Houses are not haunted. We are haunted, and regardless of the architecture with which we surround ourselves, our ghosts stay with us until we ourselves are ghosts. ~ dean-koontz, @wisdomtrove
16:Switzerland is a small, steep country, much more up and down than sideways, and is all stuck over with large brown hotels built on the cuckoo clock style of architecture. ~ ernest-hemingway, @wisdomtrove
17:Architecture is the alphabet of giants; it is the largest set of symbols ever made to meet the eyes of men. A tower stands up like a sort of simplified stature, of much more than heroic size. ~ g-k-chesterton, @wisdomtrove
18:Such was a poet and shall be and is -who'll solve the depths of horror to defend a sunbeam's architecture with his life: and carve immortal jungles of despair to hold a mountain's heartbeat in his hand. ~ e-e-cummings, @wisdomtrove
19:We must recognize that we can't solve our problems now until there is a radical redistribution of economic and political power... . a radical restructuring of the architecture of American society. ~ martin-luther-king, @wisdomtrove
20:Find what gave you emotion; what the action was that gave you excitement. Then write it down making it clear so that the reader can see it too. Prose is architecture, not interior decoration, and the Baroque is over. ~ ernest-hemingway, @wisdomtrove
21:A work of art always arises from the background: consciousness. Be it music, painting, architecture, poetry or sculpture, it is always seen by the artist in an instant, like a flash of lightning, as it surges forth from deep within him. ~ jean-klein, @wisdomtrove
22:The difference between architecture and building is that the former expresses an idea, while the latter is merely a structure built on economical principles. The value of matter depends solely on its capacities of expressing ideas. ~ swami-vivekananda, @wisdomtrove
23:Don't go where it is all fine music and grand talk and beautiful architecture; those things will neither fill anybody's stomach, nor feed his soul. Go where the gospel is preached, the gospel that really feeds your soul, and go often. ~ charles-spurgeon, @wisdomtrove
24:I paint mostly from real life. It has to start with that. Real people, real street scenes, behind the curtain scenes, live models, paintings, photographs, staged setups, architecture, grids, graphic design. Whatever it takes to make it work. ~ bob-dylan, @wisdomtrove
25:Perhaps there never was a monument more characteristic of an age and people than the Alhambra; a rugged fortress without, a voluptuous palace within; war frowning from its battlements; poetry breathing throughout the fairy architecture of its halls. ~ washington-irving, @wisdomtrove
26:Taking architecture seriously therefore makes some singular and strenuous demands upon us... It means conceding that we are inconveniently vulnerable to the colour of our wallpaper and that our sense of purpose may be derailed by an unfortunate bedspread ~ alain-de-botton, @wisdomtrove
27:A classic,' suggested Anthony, &
28:what we call a home is merely any place that succeeds in making more consistenly available to us the important truths which the wider world ignores, or which our distracted and irresolute selves have trouble holding onto." (p123) Architecture of Happiness ~ alain-de-botton, @wisdomtrove
29:The architects who benefit us most maybe those generous enough to lay aside their claims to genius in order to devote themselves to assembling graceful but predominantly unoriginal boxes. Architecture should have the confidence and the kindness to be a little boring. ~ alain-de-botton, @wisdomtrove
30:The neurochemistry of the brain is astonishingly busy, the circuitry of a machine more wonderful than any devised by humans. But there is no evidence that its functioning is due to anything more than the 10(14) neural connections that build an elegant architecture of consciousness. ~ carl-sagan, @wisdomtrove
31:All but universally, human architecture values front elevations over back entrances, public spaces over private. Danny Jessup says that this aspect of architecture is also a reflection of human nature, that most people care more about their appearance than they do about their souls. ~ dean-koontz, @wisdomtrove
32:We (the indivisible divinity that works in us) have dreamed the world. We have dreamed it resistant, mysterious, visible, ubiquitous in space and firm in time, but we have allowed slight, and eternal, bits of the irrational to form part of its architecture so as to know that it is false. ~ jorge-luis-borges, @wisdomtrove
33:Cinema is a kind of pan-art. It can use, incorporate, engulf virtually any other art: the novel, poetry, theatre, painting, sculpture, dance, music, architecture. Unlike opera, which is a (virtually) frozen art form, the cinema is and has been a fruitfully conservative medium of ideas and styles of emotions. ~ susan-sontag, @wisdomtrove
34:Ah, to build, to build! That is the noblest art of all the arts. Painting and sculpture are but images, Are merely shadows cast by outward things On stone or canvas, having in themselves No separate existence. Architecture, Existing in itself, and not in seeming A something it is not, surpasses them As substance shadow. ~ henry-wadsworth-longfellow, @wisdomtrove
35:Bad architecture is in the end as much a failure of psychology as of design. It is an example expressed through materials of the same tendencies which in other domains will lead us to marry the wrong people, choose inappropriate jobs and book unsuccessful holidays: the tendency not to understand who we are and what will satisfy us. ~ alain-de-botton, @wisdomtrove
36:What is wanted in architecture, as in so many things, is a man. ... One suggestion might be made-no profession in England has done its duty until it has furnished a victim. ... Even our boasted navy never achieved a great victory until we shot an admiral. Suppose an architect were hanged? Terror has its inspiration, as well as competition. ~ benjamin-disraeli, @wisdomtrove
37:Eroticism, hallucinogenic drugs, nuclear science, Gaudi's Gothic architecture, my love of gold - there is a common denominator in all of it: God is present in everything. The same magic is at the heart of all things, and all roads lead to the same revelation: we are children of God, and the entire universe tends towards the perfection of mankind. ~ salvador-dali, @wisdomtrove
38:A building is akin to dogma; it is insolent, like dogma. Whether or no it is permanent, it claims permanence, like a dogma. People ask why we have no typical architecture of the modern world, like impressionism in painting. Surely it is obviously because we have not enough dogmas; we cannot bear to see anything in the sky that is solid and enduring, anything in the sky that does not change like the clouds of the sky. ~ g-k-chesterton, @wisdomtrove
39:Let the labyrinth of wrinkles be furrowed in my brow with the red-hot iron of my own life, let my hair whiten and my step become vacillating, on condition that I can save the intelligence of my soul - let my unformed childhood soul, as it ages, assume the rational and esthetic forms of an architecture, let me learn just everything that others cannot teach me, what only life would be capable of marking deeply in my skin! ~ salvador-dali, @wisdomtrove
40:I received the fundamentals of my education in school, but that was not enough. My real education, the superstructure, the details, the true architecture, I got out of the public library. For an impoverished child whose family could not afford to buy books, the library was the open door to wonder and achievement, and I can never be sufficiently grateful that I had the wit to charge through that door and make the most of it. ~ isaac-asimov, @wisdomtrove
41:Everything in New Orleans is a good idea. Bijou temple-type cottages and lyric cathedrals side by side. Houses and mansions, structures of wild grace. Italianate, Gothic, Romanesque, Greek Revival standing in a long line in the rain. Roman Catholic art. Sweeping front porches, turrets, cast-iron balconies, colonnades- 30-foot columns, gloriously beautiful- double pitched roofs, all the architecture of the whole wide world and it doesn't move. ~ bob-dylan, @wisdomtrove
42:There is at the back of every artist’s mind something like a pattern and a type of architecture. The original quality in any man of imagination is imagery. It is a thing like the landscape of his dreams; the sort of world he would like to make or in which he would like to wander, the strange flora and fauna, his own secret planet, the sort of thing he likes to think about. This general atmosphere, and pattern or a structure of growth, governs all his creations, however varied. ~ g-k-chesterton, @wisdomtrove
43:When we think of design, we usually imagine things that are chosen because they are designed. Vases or comic books or architecture... It turns out, though, that most of what we make or design is actually aimed at a public that is there for something else. The design is important, but the design is not the point. Call it "public design"... Public design is for individuals who have to fill out our tax form, interact with our website or check into our hotel room despite the way it's designed, not because of it. ~ seth-godin, @wisdomtrove

*** NEWFULLDB 2.4M ***

1:Architecture is politics. ~ Mitchell Kapor,
2:Architecture is invention. ~ Oscar Niemeyer,
3:Architecture is not about form ~ Peter Zumthor,
4:Architecture aims at Eternity ~ Christopher Wren,
5:Architecture is the work of nations ~ John Ruskin,
6:I see music as fluid architecture. ~ Joni Mitchell,
7:Lyrical lecture, word architecture, ~ Lord Finesse,
8:Architecture is art, nothing else. ~ Philip Johnson,
9:Architecture must make connections ~ Gottfried Bohm,
10:For me, architecture is a social act. ~ David Adjaye,
11:I think architecture has to be a gift. ~ Jean Nouvel,
12:Architecture is what nature cannot make. ~ Louis Kahn,
13:I like the architecture of lingerie. ~ Colleen Atwood,
14:Architecture theory is very interesting. ~ David Byrne,
15:Architecture trumps licensing any time. ~ Tim O Reilly,
16:self-correcting business architecture ~ Timothy Ferriss,
17:The future of architecture is culture. ~ Philip Johnson,
18:The room is the beginning of architecture. ~ Louis Kahn,
19:Architecture is an expression of values. ~ Norman Foster,
20:Less is more, in prose as in architecture. ~ Donald Hall,
21:Architecture is frozen music. ~ Johann Wolfgang von Goethe,
22:Architecture is inhabited sculpture. ~ Constantin Brancusi,
23:Life is more important than architecture. ~ Oscar Niemeyer,
24:The frolic architecture of the snow. ~ Ralph Waldo Emerson,
25:Architecture is frozen music. ~ Johann Wolfgang von Goethe,
26:Architecture is the thoughtful making of space ~ Louis Kahn,
27:Dismantling the architecture of my discontent ~ Paul Auster,
28:There's nothing Dutch about my architecture. ~ Rem Koolhaas,
29:We have to base architecture on the environment. ~ Toyo Ito,
30:Architecture begins where engineering ends. ~ Walter Gropius,
31:Architecture is definitely a political act. ~ Peter Eisenman,
32:Architecture is the reaching out for the truth. ~ Louis Kahn,
33:Chairs are architecture, sofas are bourgeois. ~ Le Corbusier,
34:Dressmaking is the architecture of movement ~ Pierre Balmain,
35:Knocked the architecture right out of his legs. ~ Junot D az,
36:Berlin is liberation. Architecture, man! ~ Michelle Rodriguez,
37:Each new situation requires a new architecture. ~ Jean Nouvel,
38:I don't believe in morality in architecture. ~ Michael Graves,
39:Our architecture reflects truly as a mirror. ~ Louis Sullivan,
40:Architecture is not about space but about time. ~ Vito Acconci,
41:Architecture is the king or queen of the arts. ~ Gaetano Pesce,
42:I call architecture frozen music. ~ Johann Wolfgang von Goethe,
43:Architecture is the art of how to waste space. ~ Philip Johnson,
44:studied its architecture and intricate decoration ~ Mary Balogh,
45:Architecture is crystallized music. ~ Johann Wolfgang von Goethe,
46:Caches aren't architecture, they're just optimization. ~ Rob Pike,
47:I don't want to do architecture that's dry and dull. ~ Frank Gehry,
48:Prose is architecture, not interior decoration. ~ Ernest Hemingway,
49:Architecture belongs to culture, not to civilization. ~ Alvar Aalto,
50:If a building becomes architecture, then it is art. ~ Arne Jacobsen,
51:Literature is painting, architecture, and music. ~ Yevgeny Zamyatin,
52:Architecture struck me between the eye and the eyeball. ~ Louis Kahn,
53:I have no requirements for a style of architecture. ~ Michael Graves,
54:I wanted to disconnect from contemporary architecture ~ Rem Koolhaas,
55:Modern architecture is not a style, it's an attitude ~ Marcel Breuer,
56:No architecture is so haughty as that which is simple. ~ John Ruskin,
57:Fashion is architecture: it is a matter of proportions. ~ Coco Chanel,
58:Habits are the invisible architecture of daily life. ~ Gretchen Rubin,
59:Prose is architecture and the Baroque age is over. ~ Ernest Hemingway,
60:Writing about music is like dancing about architecture. ~ Frank Zappa,
61:Architecture is about public space held by buildings. ~ Richard Rogers,
62:Architecture ... the adaptation of form to resist force. ~ John Ruskin,
63:Build your architecture from what is beneath your feet. ~ Hassan Fathy,
64:Greek architecture is the flowering of geometry. ~ Ralph Waldo Emerson,
65:I like to play with architecture! It's my favorite game. ~ Jean Nouvel,
66:Life is architecture and architecture is the mirror of life. ~ I M Pei,
67:Talking about music is like dancing about architecture. ~ Steve Martin,
68:An important work of architecture will create polemics. ~ Richard Meier,
69:Architecture is a dangerous mix of power and importance. ~ Rem Koolhaas,
70:Infrastructure is much more important than architecture. ~ Rem Koolhaas,
71:So I think it is a reptile, though it may be architecture. ~ Mark Twain,
72:the voice seems to echo in the architecture of his head ~ Anthony Doerr,
73:Contemporary architecture was of necessity mediocre. ~ Georges Rodenbach,
74:He’s so obsessed with trying to analyze the architecture ~ Jack McDevitt,
75:No architecture can be truly noble which is not imperfect. ~ John Ruskin,
76:Architecture is the alpha principle of all arts. ~ Louis Ferdinand C line,
77:I just hand shoes on the wall. They're architecture you know. ~ Tori Amos,
78:I quit college. I was studying architecture for about a year. ~ Barry Mann,
79:The art of the word is painting + architecture + music. ~ Yevgeny Zamyatin,
80:The criteria for architecture after the tsunami is humbleness ~ Kengo Kuma,
81:The only thing wrong with architecture is architects. ~ Frank Lloyd Wright,
82:All architecture is great architecture after sunset. ~ Gilbert K Chesterton,
83:All architecture is what you do to it when you look upon it. ~ Walt Whitman,
84:All architecture is what you do to it when you look upon it; ~ Walt Whitman,
85:I don't know why I've always been so captivated by architecture. ~ Tim Gunn,
86:True architecture exists only where man stands in the center. ~ Alvar Aalto,
87:Architecture is to make us know and remember who we are. ~ Geoffrey Jellicoe,
88:Architecture in general is frozen music. ~ Friedrich Wilhelm Joseph Schelling,
89:The terrifying and edible beauty of Art Nouveau architecture. ~ Salvador Dali,
90:Vitality is radiated from exceptional art and architecture. ~ Arthur Erickson,
91:Architecture is the real battleground of the spirit. ~ Ludwig Mies van der Rohe,
92:There are no rules of architecture for a castle in the clouds. ~ G K Chesterton,
93:Trying to explain music is like trying to dance architecture. ~ Thelonious Monk,
94:We have to change from 'ego-architecture' to 'eco-architecture.' ~ Jaime Lerner,
95:Architecture is a slow business, and city planning even slower. ~ Richard Rogers,
96:I strive for an architecture from which nothing can be taken away. ~ Helmut Jahn,
97:It is very difficult to build contemporary architecture in Italy ~ Fabio Novembre,
98:To build means to make architecture real on the borders of knowledge. ~ Frei Otto,
99:Chicago's one of the rare places where architecture is more visible. ~ Frank Gehry,
100:I never talked about architecture with my father, which I regret ~ Bernard Tschumi,
101:Architecture appears for the first time when the sunlight hits a wall. ~ Louis Kahn,
102:i learn urgently
the architecture of loss
then find you again. ~ Warsan Shire,
103:I've become much more interested in architecture than I've ever been. ~ Paula Scher,
104:The speed of change makes you wonder what will become of architecture. ~ Tadao Ando,
105:A New Blueprint: Time to Renovate the Architecture of Our Lives ~ Arianna Huffington,
106:Architecture is a ride - a physical ride and an intellectual ride. ~ Antoine Predock,
107:Architecture is a visual art, and the buildings speak for themselves. ~ Julia Morgan,
108:Architecture is too slow in its realisation to be a 'problem solver'. ~ Cedric Price,
109:I'm drawn to furniture design as complete architecture on a minor scale. ~ Brad Pitt,
110:My furniture is an exercise in architecture or architectural mood. ~ Ettore Sottsass,
111:The history of architecture is the history of the struggle for light. ~ Le Corbusier,
112:Any work of architecture that does not express serenity is a mistake. ~ Luis Barragan,
113:Architecture exists, like cinema, in the dimension of time and movement ~ Jean Nouvel,
114:I think of architecture as a piece of clothing to wrap around human beings ~ Toyo Ito,
115:Life is blood and death and fear and joy and fierce architecture, man. ~ Gin Phillips,
116:There are no rules of architecture for a castle in the clouds. ~ Gilbert K Chesterton,
117:Architecture is the will of an epoch translated into space. ~ Ludwig Mies van der Rohe,
118:Art and architecture - all the arts - do not have to exist in isolation. ~ Donald Judd,
119:If architecture is frozen music then music must be liquid architecture. ~ Quincy Jones,
120:The secret of good architecture is having more than meets the eye. ~ Annabelle Selldorf,
121:Music is liquid architecture; Architecture is frozen music. ~ Johann Wolfgang von Goethe,
122:When I see architecture that moves me, I hear music in my inner ear ~ Frank Lloyd Wright,
123:I am immersed in architecture all day, working in my office or teaching. ~ Peter Eisenman,
124:I believe that the way people live can be directed a little by architecture. ~ Tadao Ando,
125:I don't divide architecture, landscape and gardening; to me they are one. ~ Luis Barragan,
126:I'm not against architecture per se, I'm just against bad architecture. ~ Jonathan Meades,
127:Music is liquid architecture; Architecture is frozen music. ~ Johann Wolfgang von Goethe,
128:There will be no new architecture for computing for the next 1,000 years. ~ Larry Ellison,
129:Architecture is the will of the age conceived in spatial terms. ~ Ludwig Mies van der Rohe,
130:Architecture should speak of its time and place, but yearn for timelessness. ~ Frank Gehry,
131:Architecture was pretty much the sexiest thing to be doing from 1700-1800. ~ Kevin McCloud,
132:I love Sutton House in Clapton, a beautiful example of Tudor architecture. ~ Sharon Horgan,
133:Like medicine (architecture) must move from the curative to the preventive. ~ Cedric Price,
134:The architecture we remember is that which never consoles or comforts us. ~ Peter Eisenman,
135:To really appreciate architecture, you may even need to commit a murder. ~ Bernard Tschumi,
136:To some extent I've always taken the architecture of the space into account. ~ Wade Guyton,
137:Architecture is not so much a knowledge of form, but a form of knowledge. ~ Bernard Tschumi,
138:Prose is architecture, not interior decoration, and the Baroque is over. ~ Ernest Hemingway,
139:There are but two truths in the world - the Bible and Greek architecture. ~ Nicholas Biddle,
140:There was a time when I experienced architecture without thinking about it. ~ Peter Zumthor,
141:We travel for romance, we travel for architecture, and we travel to be lost. ~ Ray Bradbury,
142:Architecture begins when you place two bricks carefully together. ~ Ludwig Mies van der Rohe,
143:Architecture is an applied art, founded not on theories but on practice. ~ Witold Rybczynski,
144:One cannot make architecture without studying the condition of life in the city ~ Aldo Rossi,
145:The Fujiyama of Architecture?at once a lofty mountain and a national shrine. ~ Lewis Mumford,
146:If Nature had been comfortable, mankind would never have invented architecture. ~ Oscar Wilde,
147:I only like decoration if it plays second to the architecture of a dress. ~ Madeleine Vionnet,
148:It is not with architecture that one can disseminate any political ideology. ~ Oscar Niemeyer,
149:Music, landscape gardening, architecture—there was no start to his talents. ~ Terry Pratchett,
150:Architecture has the power to create order out of unholy confusion. ~ Ludwig Mies van der Rohe,
151:Architecture domesticates limitless space and enables us to inhabit it [...] ~ Juhani Pallasmaa,
152:Architecture should be dedicated to keeping the outside out and the inside in. ~ Leonard Baskin,
153:Architecture tends to consume everything else, it has become one's entire life. ~ Arne Jacobsen,
154:Egypt left a legacy of art and architecture; Sumer’s legacy is its megabytes. ~ Neal Stephenson,
155:It’s time for architecture to do things again, not just represent things. ~ Joshua Prince Ramus,
156:The principle of the Gothic architecture is infinity made imaginable. ~ Samuel Taylor Coleridge,
157:Architecture cannot be understood without some knowledge of the society it serves. ~ Hugh Casson,
158:Architecture is a language. When you are very good, you can be a poet ~ Ludwig Mies van der Rohe,
159:He who does not master the nude cannot understand the principles of architecture. ~ Michelangelo,
160:Ive always seen architecture as a healing art, not just as a beautification art. ~ James Polshek,
161:Open-source code is extremely well-adapted to service-oriented architecture. ~ Winston Damarillo,
162:Rationalism is the enemy of art, though necessary as a basis for architecture. ~ Arthur Erickson,
163:There was practically nothing that he wouldn’t attack, including architecture. ~ Terry Pratchett,
164:Free verse'? You may as well call sleeping in a ditch 'free architecture'. ~ Gilbert K Chesterton,
165:I know we can't have a great architecture while it is only for the landlord. ~ Frank Lloyd Wright,
166:The genius of architecture seems to have shed its maledictions over this land. ~ Thomas Jefferson,
167:The new architecture of transparency and lightness comes from Japan and Europe. ~ Arthur Erickson,
168:The perfect kind of architecture decision is the one which never has to be made ~ Robert C Martin,
169:There will never be great architects or great architecture without great patrons. ~ Edwin Lutyens,
170:They can do without architecture who have no olives nor wines in the cellar ~ Henry David Thoreau,
171:You don't need to spend a lot of money on stuff when you have amazing architecture. ~ Nate Berkus,
172:Architecture wrote the history of the epochs and gave them their names. ~ Ludwig Mies van der Rohe,
173:I think architecture, to be really intense and fulfilling, doesn't have to be large. ~ Steven Holl,
174:The dialogue of architecture has been centered too long around the idea of truth. ~ Michael Graves,
175:The difference between good and bad architecture is the time you spend on it. ~ David Chipperfield,
176:They can do without architecture who have no olives nor wines in the cellar. ~ Henry David Thoreau,
177:To create architecture is to put in order. Put what in order? Function and objects. ~ Le Corbusier,
178:It's not new that architecture can profoundly affect a place, sometimes transform it. ~ Frank Gehry,
179:I've said goodbye to the overworked notion that architecture has to save the world. ~ Peter Zumthor,
180:Only architecture that considers human scale and interaction is successful architecture. ~ Jan Gehl,
181:Princeton is a sublime undergraduate university. It has a good architecture school. ~ Emilio Ambasz,
182:The back of Saint Peter's is one of the finest pieces of architecture I've ever seen. ~ Frank Gehry,
183:My architecture is easy to understand. And enjoy. I hope it also is hard to forget. ~ Oscar Niemeyer,
184:Painting can never show her nose in company with architecture but to have it snubbed. ~ J M W Turner,
185:All architecture has a public nature, I believe, so I would like to make a public space. ~ Tadao Ando,
186:Architecture doesn't come from theory. You don't think your way through a building. ~ Arthur Erickson,
187:Consider the momentous event in architecture when the wall parted and the column became. ~ Louis Kahn,
188:For architecture, nature provides only indications and analogies, not models to imitate. ~ Leon Krier,
189:Lovely promise and quick ruin are seen nowhere better than in Gothic architecture. ~ George Santayana,
190:Never talk to a client about architecture. Talk to him about his children. ~ Ludwig Mies van der Rohe,
191:People kind of tend to mystify design and architecture by suggesting you need to train. ~ Marc Newson,
192:The rules of logic are to mathematics what those of structure are to architecture. ~ Bertrand Russell,
193:To provide meaningful architecture is not to parody history, but to articulate it. ~ Daniel Libeskind,
194:British artist Alex Chinneck creates large-scale installation art inspired by architecture ~ Anonymous,
195:Georgian architecture respected the scale of both the individual and the community. ~ Stephen Gardiner,
196:Of course I know very little about architecture, and the older I get the less I know. ~ Richard Rogers,
197:The more centralized the power, the less compromises need to be made in architecture. ~ Peter Eisenman,
198:There are a great many things about architecture that are hidden from the untrained eye. ~ Frank Gehry,
199:A modern, harmonic and lively architecture is the visible sign of authentic democracy. ~ Walter Gropius,
200:he looked up and around him in awe and amazement. And saw the faces in the architecture. ~ Ian McDonald,
201:Ornamentation is the principal part of architecture, considered as a subject of fine art. ~ John Ruskin,
202:The architecture of the song, if it's built properly, can withstand all kinds of things. ~ Emily Haines,
203:The automobile is the greatest catastrophe in the entire history of City architecture. ~ Philip Johnson,
204:The Internet has created an incredible democratization of the architecture industry. ~ Cameron Sinclair,
205:The only job that was ever of interest to me other than filmmaking is architecture. ~ Christopher Nolan,
206:The purpose of construction is TO MAKE THINGS HOLD TOGETHER; of architecture TO MOVE US. ~ Le Corbusier,
207:Architecture is the learned game; correct and magnificent of forms assembled in the light ~ Le Corbusier,
208:Britain loves a bargain, but you don't get good, lasting architecture on the cheap. ~ David Chipperfield,
209:Cat's passion for atmospheric architecture was only just second to her passion for Henry. ~ Val McDermid,
210:Harrow the house of the dead; look shining at New styles of architecture, a change of heart. ~ W H Auden,
211:I see the task of architecture as the defense of the authenticity of human experience ~ Juhani Pallasmaa,
212:Architecture is basically the design of interiors, the art of organizing interior space. ~ Philip Johnson,
213:Architecture is either the prophecy of an unformed society or the tomb of a finished one. ~ Lewis Mumford,
214:Architecture is not just for the moment, it is not just for the next fashion magazine. ~ Daniel Libeskind,
215:In fact, it will be very easy to climb the building because of its shape and architecture. ~ Alain Robert,
216:Richard Meier told me, 'Young man, solar energy has nothing to do with architecture.' ~ William McDonough,
217:The American attitude towards efficiency and execution should always underlie architecture. ~ Helmut Jahn,
218:Architecture is the learned game, correct and magnificent, of forms assembled in the light. ~ Le Corbusier,
219:I don't build because I am an architect. I can make true architecture because I do not build. ~ Leon Krier,
220:I don't find Hollywood interesting, so I'm thinking of studying architecture instead. ~ Hayden Christensen,
221:I like to think about machines and technology in relation to landscape and architecture. ~ Antoine Predock,
222:Since it [architecture] is music in space, as it were a frozen music. ~ Friedrich Wilhelm Joseph Schelling,
223:Architecture starts when you carefully put two bricks together. There it begins. ~ Ludwig Mies van der Rohe,
224:Maybe we can show government how to operate better as a result of better architecture. ~ Frank Lloyd Wright,
225:My house is my refuge, an emotional piece of architecture, not a cold piece of convenience. ~ Luis Barragan,
226:the architecture that our services operate within dictates how we test and deploy our code. This ~ Gene Kim,
227:The building of the architecture of a novel - the craft of it - is something I never tire of. ~ John Irving,
228:There is little in the architecture of a city that is more beautifully designed than a tree. ~ Jaime Lerner,
229:The Venus Project is not about new cities or new architecture. It's about a way of thinking ~ Jacque Fresco,
230:I didn't realize Toronto was so beautiful. Everywhere you go you see beautiful architecture. ~ Kevin Costner,
231:I'm a designer, which includes interiors, architecture, fashion, furniture, and lifestyle. ~ Kelly Wearstler,
232:It was the drawing that led me to architecture, the search for light and astonishing forms. ~ Oscar Niemeyer,
233:Trying to describe something musical is like dancing to architecture, it's really difficult. ~ Robert Palmer,
234:When we have a tightly-coupled architecture, small changes can result in large scale failures. As ~ Gene Kim,
235:I search for surprise in my architecture. A work of art should cause the emotion of newness. ~ Oscar Niemeyer,
236:It is therefore indisputable that the limbs of architecture are derived from the limbs of man. ~ Michelangelo,
237:The architecture of war and violence is now matched by a barrage of goods parading as fashion. ~ Henry Giroux,
238:long-time professor of architecture at the University of California, Berkeley, Chris Alexander ~ Stewart Brand,
239:Most of what you see in architecture are watered-down ideas of sculptors who have come before. ~ Richard Serra,
240:Architecture is about ideas in the first place. You don't get to design until you have an idea. ~ Lebbeus Woods,
241:Architecture is not merely national but clearly has local ties in that it is rooted in the earth. ~ Alvar Aalto,
242:Chinese architecture has a complete organic structure; it contains both sensibility and purpose. ~ Wu Guanzhong,
243:In the minds of the early Christians, the people—not the architecture—constituted a sacred space. ~ Frank Viola,
244:Art is about people. I think the discussion about whether architecture is art or not is lamebrain. ~ Frank Gehry,
245:Chicago is a beautiful city - the architecture, the food, everything in the city is awesome. ~ Chance the Rapper,
246:I would like my architecture to inspire people to use their own resources, to move into the future. ~ Tadao Ando,
247:Of all the lessons most relevant to architecture today, Japanese flexibility is the greatest. ~ Stephen Gardiner,
248:Scribal culture and Gothic architecture were both concerned with light through, not light on. ~ Marshall McLuhan,
249:Authentic architecture is not the incarnation of the spirit of the age but of the spirit, full stop. ~ Leon Krier,
250:Building a temple didn't mean you believed in gods, it just meant you believed in architecture. ~ Terry Pratchett,
251:Building a temple didn’t mean you believed in gods, it just meant you believed in architecture. ~ Terry Pratchett,
252:By the unification of architecture, sculpture and painting a new plastic reality will be created. ~ Piet Mondrian,
253:From Architecture down to the Zodiac, every science worthy of the name was imported by the Greeks ~ H P Blavatsky,
254:We need better architecture and planning: more imaginatively exciting, more involving, more our own. ~ Robin Boyd,
255:I have found among my papers a sheet . . . in which I call architecture frozen music. ~ Johann Wolfgang von Goethe,
256:In any architecture, there is an equity between the pragmatic function and the symbolic function. ~ Michael Graves,
257:Not architecture alone but all technology is, at certain stages, evidence of a collective dream. ~ Walter Benjamin,
258:You became the youngest person ever to win the Pritzker Prize, architecture’s version of the Nobel. ~ Edward Albee,
259:Architecture depends on Order, Arrangement, Eurythmy, Symmetry , Propriety , and Economy. ~ Marcus Vitruvius Pollio,
260:Architecture is always dream and function, expression of a utopia and instrument of a convenience. ~ Roland Barthes,
261:Istanbul is inspiring because it has its own code of architecture, literature, poetry, music. ~ Christian Louboutin,
262:One of the great beauties of architecture is that each time, it is like life starting all over again. ~ Renzo Piano,
263:Today, architecture is invention. It isn't enough to just be rational - It must also be beautiful. ~ Oscar Niemeyer,
264:Writing about music is like dancing about architecture - it's really a stupid thing to want to do. ~ Elvis Costello,
265:Architecture is measured against the past, you build in the present, and try to imagine the future. ~ Richard Rogers,
266:Escape from the architecture ghetto is one of the major drivers and has been from the very beginning. ~ Rem Koolhaas,
267:The intellectual architecture means focusing on doing great work instead of focusing on agency politics. ~ Jay Chiat,
268:The way of architecture is the quiet voice that underlies it and has guided it from the beginning. ~ Arthur Erickson,
269:I don't really get into architecture in the hotel room. But maybe a little Feng Shui here and there. ~ Drew Barrymore,
270:In fact, the public will accept any city plan and skyline provided that its architecture is traditional. ~ Leon Krier,
271:I would have liked maybe to be in architecture or painting, something connected to the fine arts. ~ Catherine Deneuve,
272:Now it would not be the geography of Empire that would make him, it would be the architecture of war. ~ Kate Atkinson,
273:There is still a real need for good quality architecture, not paper architecture, but the real stuff. ~ Peter Zumthor,
274:We gazed at the constellations, praising the portentous architecture of the sky with trite formulas. ~ Elena Ferrante,
275:A dress is a piece of ephemeral architecture, designed to enhance the proportions of the female body. ~ Christian Dior,
276:Architecture isn't just about creating new buildings, sometimes its about retuning what's already there. ~ John Pawson,
277:Drawing architecture is a "schizoid" act: it involves reducing the world to a piece of paper. ~ Eduardo Souto de Moura,
278:I believe that architecture is a pragmatic art. To become art it must be built on a foundation of necessity. ~ I M Pei,
279:If people want to see Beaux-Arts, it's fine with me. I'm interested in good architecture as anybody else. ~ Louis Kahn,
280:The team architecture means setting up an organization that helps people produce that great work in teams. ~ Jay Chiat,
281:Architecture is not based on concrete and steel, and the elements of the soil. It's based on wonder. ~ Daniel Libeskind,
282:Architecture must concern itself continually with the socially beneficial distortion of the environment. ~ Cedric Price,
283:I'm totally into architecture for all strata of society. High design should not just be for rich people. ~ David Adjaye,
284:Japanese architecture is traditionally based on wooden structures that need renovating on a regular basis. ~ Tadao Ando,
285:Madam de Stael pronounced architecture to be frozen music; so is statuary crystalized spirituality. ~ Louisa May Alcott,
286:The code gets completely rewritten from scratch or ported to another architecture or even another language. ~ Anonymous,
287:The Fire Department has traditionally considered architecture a priority only when it’s burning down. ~ Justin Davidson,
288:In L.A., cinema and television might be seen as more interesting places for architecture than ever before. ~ Neil Denari,
289:You have to accept as an architect to be exposed to criticism. Architecture should not rely on full harmony ~ Renzo Piano,
290:Beauty isn't what I'm primarily interested in [in architecture]. I think appropriateness is more important. ~ Rem Koolhaas,
291:May the software you write today have an architecture that your children’s children may discern and celebrate. ~ Anonymous,
292:Nobody sees it happening, but the architecture of our time
Is becoming the architecture of the next time. ~ Mark Strand,
293:The Egyptian contribution to architecture was more concerned with remembering the dead than the living. ~ Stephen Gardiner,
294:Almost everything we know about good software architecture has to do with making software easy to change ~ Mary Poppendieck,
295:I make no special difference between architecture and design, they are two different stages of invention. ~ Ettore Sottsass,
296:It is a question of building which is at the root of the social unrest of today: architecture or revolution. ~ Le Corbusier,
297:Ninety percent of the buildings we live in and around aren't architecture. No, that's not right - 98 percent. ~ Frank Gehry,
298:software architecture is a set of architectural (or, if you will, design) elements that have a particular form. ~ Anonymous,
299:Technological considerations are of great importance to architecture and cities in the informational society. ~ Kenzo Tange,
300:I first decided architecture was for me when I saw Le Corbusier's designs in a Japanese magazine in the 1930s. ~ Kenzo Tange,
301:The concept of architecture as analogous to landscape is something that has interested me for a long time. ~ Antoine Predock,
302:I don't think architecture is radical. How can something that takes years and costs millions be radical? ~ David Chipperfield,
303:The bowed frame of an old man is the settlement in the architecture of life. Nature had formed him for sadness. ~ Victor Hugo,
304:Architecture arouses sentiments in man. The architect's task therefore, is to make those sentiments more precise. ~ Adolf Loos,
305:Architecture concerns itself only with those characters of an edifice which are above and beyond its common use. ~ John Ruskin,
306:...architecture was what you had instead of landscape, a signal of loss, of imitation. Europe had it in spades... ~ Tim Winton,
307:I always say that writing non-fiction versus writing fiction is a bit like architecture versus abstract painting. ~ Andrew Lam,
308:There is a deep human need for beauty and if you ignore that need in architecture your buildings will not last ~ Roger Scruton,
309:Architecture is about the understanding of the world and turning it into a more meaningful and humane place. ~ Juhani Pallasmaa,
310:I believe [the architecture firm] Herzog and de Meuron and our collaboration made the product the best it could be. ~ Ai Weiwei,
311:I went into architecture a little as 'Peck's Bad Boy.' It allowed me to be a critic in a socially condoned way. ~ James Polshek,
312:Writing about music really is like dancing about architecture--and a good thing, too. Everything is like that. ~ Timothy Morton,
313:Angkor is perhaps the greatest of Man's essays in rectangular architecture that has yet been brought to life. ~ Arnold J Toynbee,
314:Architecture, sculpture, painting, music, and poetry, may truly be called the efflorescence of civilised life. ~ Herbert Spencer,
315:For me, I think the most exciting thing in architecture is the re-emergence of the locally-focused architect. ~ Cameron Sinclair,
316:How do you document an architecture so that others can successfully use it, maintain it, and build a system from it? ~ Anonymous,
317:I don't see that any buildings should be excluded from the term architecture, as long as they are done properly. ~ Arne Jacobsen,
318:Literature, at least good literature, is science tempered with the blood of art. Like architecture or music. ~ Carlos Ruiz Zaf n,
319:Literature, at least good literature, is science tempered with the blood of art. Like architecture or music. ~ Carlos Ruiz Zafon,
320:London is one of the most civilised places in the world for the procedure of making architecture and urban design. ~ Renzo Piano,
321:The mother art is architecture. Without an architecture of our own we have no soul of our own civilization. ~ Frank Lloyd Wright,
322:Architects have made architecture too complex. We need to simplify it and use a language that everyone can understand. ~ Toyo Ito,
323:I don't believe that classical architecture is enough to engage people anymore. They say: 'So what else is new?' ~ Peter Eisenman,
324:Anybody I talk to agrees that maybe 2 percent of the building environment since the war, we could call architecture. ~ Frank Gehry,
325:Architecture is basically a container of something. I hope they will enjoy not so much the teacup, but the tea. ~ Yoshio Taniguchi,
326:Architecture is the simplest means of articulating time and space, of modulating reality, of engendering dreams. ~ Ivan Chtcheglov,
327:Nothing can be more airy and beautiful than the transparent seed-globe-a fairy dome of splendid architecture. ~ Henry Ward Beecher,
328:The ancient Romans built their greatest masterpieces of architecture, their amphitheaters, for wild beasts to fight in. ~ Voltaire,
329:Architecture is supposed to complete nature. Great architecture makes nature more beautiful-it gives it power. ~ Claudio Silvestrin,
330:I appreciated that all animals have some form of mental life that reflects the architecture of their nervous system. ~ Oliver Sacks,
331:I was also always interested in the aesthetic realm - architecture and that kind of stuff - but music was my first love. ~ St Lucia,
332:The Italians are the most civilized people. And they're very warm. Basically, they're Jews with great architecture. ~ Fran Lebowitz,
333:God created paper for the purpose of drawing architecture on it. Everything else is at least for me an abuse of paper. ~ Alvar Aalto,
334:In architecture and interiors, as well as fashion, there is an interaction that is both functional and aesthetic. ~ Joseph Altuzarra,
335:Organic architecture seeks superior sense of use and a finer sense of comfort, expressed in organic simplicity. ~ Frank Lloyd Wright,
336:The architecture
of my sister's thinking,
now phantom.
I fall
down stairs
that are nothing
but air. ~ Jandy Nelson,
337:There is a lot of bad architecture. What we need more is to look at how our landscape should look in the next decades. ~ Dieter Rams,
338:I love fashion, I love architecture and I love image making so if I can, I would put all these three in one pot. ~ Christopher Bailey,
339:Once I got out of architecture school I decided not to be an architect, I just started my own little design studio. ~ Joseph Kosinski,
340:When I was in architecture school at Princeton, the worst thing you could say about someone was that they were eclectic. ~ Hugh Hardy,
341:Architecture is not created, it is discovered – the hand will find solutions before the mind can even comprehend them. ~ Glenn Murcutt,
342:So here I stand before you preaching organic architecture: declaring organic architecture to be the modern ideal. ~ Frank Lloyd Wright,
343:Splendid architecture, the love of your life, an old friend... they can all go drifting by unseen if youre not careful. ~ Ian Mckellen,
344:There are no chords in modernist architecture, only lines - lines that may come to an end, but that achieve no closure ~ Roger Scruton,
345:The show is called The Office and while it focuses on the people, the architecture of the space is very important. ~ Brian Baumgartner,
346:We need houses as we need clothes, architecture stimulates fashion. It’s like hunger and thirst — you need them both. ~ Karl Lagerfeld,
347:When circumstances defy order, order should bend or break: anomalies and uncertainties give validity to architecture. ~ Robert Venturi,
348:Architecture approaches nearer than any other art to being irrevocable because it is so difficult to get rid of. ~ Gilbert K Chesterton,
349:I would've been intrigued by being a film director. I would've been intrigued by politics. I thought about architecture. ~ Charlie Rose,
350:A man of eighty has outlived probably three new schools of painting, two of architecture and poetry and a hundred in dress. ~ Lord Byron,
351:I make no apology for preoccupying myself with architecture, television, conceptual art, restaurants and Jane Asher's cakes. ~ Will Self,
352:Is there some vital connection between Norman church architecture and the milking of beef cattle of which I am unaware? ~ Michael Chabon,
353:New York is the cubist, the futurist city. It expresses in its architecture, its life, its spirit, the modern thought. ~ Francis Picabia,
354:When a child has learned this architecture, he can tell time as easily from a wristwatch as from a church tower. ~ Frederick P Brooks Jr,
355:When I see beautiful clothes, I want to keep them, preserve them... Clothes, like architecture and art, reflect an era. ~ Azzedine Alaia,
356:Architecture and any art can transform a person, even save someone. It can for children - for anyone. It still does for me. ~ Frank Gehry,
357:I don't believe architecture has to speak too much. It should remain silent and let nature in the guise of sunlight and wind ~ Tadao Ando,
358:I love antique architecture, so if I have any indulgences, I have owned and renovated and reconstructed a lot of old houses. ~ Daryl Hall,
359:Nothing like that warm and fuzzy Soviet architecture ... Pretty much as close to the Klingon home world as you're gonna get. ~ Josh Gates,
360:Architecture is exposed to life. If its body is sensitive enough, it can assume a quality that bears witness to past life. ~ Peter Zumthor,
361:Modernism in architecture went hand in hand with socialist and fascist projects to rid old Europe of its hierarchical past ~ Roger Scruton,
362:The building is a special place because of its architecture; But it's people who make it special by participating in it. ~ Charlie Chaplin,
363:The goal of software architecture is to minimize the human resources required to build and maintain the required system. ~ Robert C Martin,
364:Winning a competition in architecture is a ticket to oblivion. It's just an idea. Ninety-nine per cent never get built. ~ Daniel Libeskind,
365:A lot of my work is about questioning the stability and permanence of architecture, and, in turn, the stability of society. ~ Lebbeus Woods,
366:Architecture begins to matter when it brings delight and sadness and perplexity and awe along with a roof over our heads. ~ Paul Goldberger,
367:I have tried to get close to the frontier between architecture and sculpture and to understand architecture as an art. ~ Santiago Calatrava,
368:I suppose I'm trying to build an architecture that's as timeless as possible, although we're all creatures of our age. ~ David Chipperfield,
369:My weakness ... is architecture. I think of my work as ephemeral architecture, dedicated to the beauty of the female body. ~ Christian Dior,
370:Architecture is bound to situation. And I feel like the site is a metaphysical link, a poetic link, to what a building can be. ~ Steven Holl,
371:I had some good opportunities. I was lucky to have had the chance to do things differently. Architecture is about surprise. ~ Oscar Niemeyer,
372:I have a strong sense that every project is an invention, which is not a word I hear being used in architecture courses ~ Thomas Heatherwick,
373:Timothy O'Sullivan was, it seems to me, the greatest of the photographers because he understood nature first as architecture. ~ Robert Adams,
374:When I'm in London, Claridge's is a great favourite. I'm a big fan of art deco architecture and the rooms are extraordinary. ~ Roman Coppola,
375:Clearly, if a building is not functionally and technically in order, then it isn't architecture either, it's just a building. ~ Arne Jacobsen,
376:The details are the very source of expression in architecture. But we are caught in a vice between art and the bottom line. ~ Arthur Erickson,
377:The problem with digital architecture is that an algorithm can produce endless variations, so an architect has many choices. ~ Peter Eisenman,
378:Architecture immortalizes and glorifies something. Hence there can be no architecture where there is nothing to glorify. ~ Ludwig Wittgenstein,
379:Architecture is the art of reconciliation between ourselves andthe world, and this mediation takes place through the senses ~ Juhani Pallasmaa,
380:The English light is so very subtle, so very soft and misty, that the architecture responded with great delicacy of detail. ~ Stephen Gardiner,
381:In architecture, the demand was no longer for box-like forms, but for buildings that have something to say to the human emotions. ~ Kenzo Tange,
382:I think Miss Monroe as architecture is extremely good architecture, and she's a very natural actress, and a very good one. ~ Frank Lloyd Wright,
383:It was only in an urban landscape, amid straight lines and architecture, that she could situate herself in human time and history. ~ Ruth Ozeki,
384:The elements of architecture are not visual units or gestalt; they are encounters, confrontations that interact with memory. ~ Juhani Pallasmaa,
385:The process I go through in the art and the architecture, I actually want it to be almost childlike. Sometimes I think it's magical. ~ Maya Lin,
386:There's certain things in life that I love. One is architecture. And music, culture, food, people. New Orleans has all of that. ~ Lenny Kravitz,
387:You see a lot of so-called architecture that part of the ego trip overpowers the functionality and the budget and all that stuff. ~ Frank Gehry,
388:Art in progress. MAK has occupied a unique and valuable space as international host for discourse between the arts and architecture. ~ Thom Mayne,
389:As a total activity - I practice curating, art, architecture, writing, and publishing all together. I still act as a living creature. ~ Ai Weiwei,
390:I'm trying to create flesh architecture. I aim to get a sculptural feel for groups of bodies, as well as create performance art. ~ Spencer Tunick,
391:All civilisation begins with a theocracy and ends with a democracy. This law of liberty succeeding unity is written in architecture. ~ Victor Hugo,
392:During those years of travel I saw that architecture is what we console ourselves with once we’ve obliterated our natural landscapes. ~ Tim Winton,
393:I want to do interiors, furniture. I want to do architecture, although I'm not an architect. Nor am I a trained interior designer. ~ Lenny Kravitz,
394:Architecture is the triumph of human imagination over materials, methods, and men, to put man into possession of his own earth ~ Frank Lloyd Wright,
395:Art is more than a series of images that are disembodied. Art is objects that live in real places, economies, spaces, architecture. ~ Trevor Paglen,
396:As technology advances at an alarming pace, the place of drawing remains as valid as ever in the creation of art and architecture. ~ Prince Charles,
397:Modern architecture does not mean the use of immature new materials; the main thing is to refine materials in a more human direction. ~ Alvar Aalto,
398:Princeton University's campus environment presents unique challenges and opportunities for architecture to act as a social condenser. ~ Steven Holl,
399:Some authors regard morality in the same light as we regard modern architecture. Convenience is the first thing to be looked for. ~ Luc de Clapiers,
400:I truly believe that the great heroes that create the history of architecture are people who take risks and write to tell about it. ~ Peter Eisenman,
401:The purpose of architecture is to shelter and enhance man's life on earth and to fulfill his belief in the nobility of his existence. ~ Eero Saarinen,
402:All architecture is great architecture after sunset; perhaps architecture is really a nocturnal art, like the art of fireworks. ~ Gilbert K Chesterton,
403:This profession [photography] is deserving of attention and respect equal to that accorded painting, literature, music and architecture. ~ Ansel Adams,
404:Why should architecture or objects of art in the machine age, just because they are made by machines, have to resemble machinery? ~ Frank Lloyd Wright,
405:I'm somebody who likes codes and ciphers and chases and artwork and architecture, and all the things you find in a Robert Langdon thriller. ~ Dan Brown,
406:The elms of New England! They are as much a part of her beauty as the columns of the Parthenon were the glory of its architecture. ~ Henry Ward Beecher,
407:Art is very tricky because it's what you do for yourself. It's much harder for me to make those works than the monuments or the architecture. ~ Maya Lin,
408:Churches have given us great treasures such as music and architecture. Whether that pays for the harm they have done is another matter. ~ Daniel Dennett,
409:If music is frozen architecture, then the potpourri is frozen coffee-table gossip... Potpourri is the art of adding apples to pears. ~ Arnold Schoenberg,
410:I'm a very tactile learner, so I need analog index cards, moving them all about, trying out various sequences for the book's architecture. ~ Joshua Mohr,
411:Perhaps the CEO’s most important operational responsibility is designing and implementing the communication architecture for her company. ~ Ben Horowitz,
412:What holds us back in life is the invisible architecture of fear. It keeps us in our comfort zones, which are, in truth, the least safe ~ Robin S Sharma,
413:It seems a fantastic paradox, but it is nevertheless a most important truth, that no architecture can be truly noble which is not imperfect. ~ John Ruskin,
414:Some things never change for Italians: their love of art, architecture, opera, pasta, and cutting people's balls off when they misbehave. ~ Louis Ferrante,
415:..."vers libre," (free verse) or nine-tenths of it, is not a new metre any more than sleeping in a ditch is a new school of architecture. ~ G K Chesterton,
416:I loved logic, math, computer programming. I loved systems and logic approaches. And so I just figured architecture is this perfect combination. ~ Maya Lin,
417:The architecture profession has lost a lot of its integrity, especially in the USA. The general architect here has no scruples, no ambitions. ~ Helmut Jahn,
418:The peace within and flowing from sacred spaces and architecture places is clothed in forgiveness, renunciation, and reconciliation. ~ Norris Brock Johnson,
419:The problem of architecture as I see it is the problem of all art – the elimination of the human element from the consideration of the form. ~ Evelyn Waugh,
420:The two elements the traveler first captures in the big city are extra human architecture and furious rhythm. Geometry and anguish. ~ Federico Garcia Lorca,
421:Good architecture is necessary to give programs enough structure to be able to grow large without collapsing into a puddle of confusion. ~ Douglas Crockford,
422:The purpose of architecture is to transmute the emptiness into space, that is into something which our minds can grasp as an organized unity. ~ El Lissitzky,
423:Though who knows the architecture of the mind, and whether the arches that open upon discrete episodes are ordered in any way sequentialy? ~ Gregory Maguire,
424:Architecture must have something that appeals to the human heart. Creative work is expressed in our time as a union of technology and humanity. ~ Kenzo Tange,
425:Generally in our world, whether in architecture or almost anywhere else, we devalue the artist, and schools at whatever level shut people down. ~ Frank Gehry,
426:I have people working together, doing different things: architecture, art installation, photography, publishing, and curatorial works and design. ~ Ai Weiwei,
427:I never studied sculpture, engineering or architecture. In fact, after college I applied to seven art schools and was rejected by all seven. ~ Janet Echelman,
428:I think all writing about art is in some way dancing to architecture. It's all about similitude, being analogous, metaphorical, adjectival, etc. ~ Rob Chapman,
429:I would say that to put architecture in the chain of history, to be able to interpret and understand why we are where we are, is quite crucial. ~ Rafael Moneo,
430:Spiritual space is lost in gaining convenience. I saw the need to create a mixture of Japanese spiritual culture and modern western architecture. ~ Tadao Ando,
431:There are three forms of visual art: Painting is art to look at, sculpture is art you can walk around, and architecture is art you can walk through ~ Dan Rice,
432:Architecture does not change anything. It's always on the side of the wealthy. The important thing is to believe that it can make life better. ~ Oscar Niemeyer,
433:Forests were the first temples of the Divinity, and it is in the forests that men have grasped the first idea of architecture. ~ Francois Rene de Chateaubriand,
434:In the Renaissance there wasn't a distinction. Bernini was an artist and he made architecture, and Michelangelo also did some great architecture. ~ Frank Gehry,
435:That's why you go into architecture - at least I did - to do things for people. I think most of us are idealists. You start out that way, anyway. ~ Frank Gehry,
436:Vitruvian Man. This ancient described the human figure as being the principal source of proportion within the Classical orders of architecture ~ Hourly History,
437:Architecture which enters into a symbiosis with light does not merely create form in light, by day and at night, but allow light to become form. ~ Richard Meier,
438:perhaps the boldest instance of a sudden change in almost every respect, whether of plan, elevation, or detail, which is known to architecture. ~ Lynn Thorndike,
439:There are only four great arts: music, painting, sculpture, and ornamental pastry - architecture being the least banal derivative of the latter. ~ Edith Wharton,
440:We have no sociology of architecture. Architects are unaccustomed to social analysis and mistrust it; sociologists have fatter fish to fry. ~ Denise Scott Brown,
441:Architecture is art, but art vastly contaminated by many other things. Contaminated in the best sense of the word – fed, fertilised by many things. ~ Renzo Piano,
442:Each museum is different - the collection is different, the context is different, the relationship between the art and architecture is different. ~ Richard Meier,
443:It is very difficult to fix a poorly written application retroactively if it has a fundamentally flawed architecture from an efficiency perspective. ~ Ben Watson,
444:Only in intimate communion with solitude may man find himself. Solitude is good company and my architecture is not for those who fear or shun it. ~ Luis Barragan,
445:The capitol city of Angkor Kol Ker, the heart of the Khmer empire, held architecture the likes of which Europe would not see for half a century. ~ Robert Doherty,
446:As scientists put it, the brain is plastic, or moldable. Yes, the actual physical architecture of the brain changes based on what happens to us. ~ Daniel J Siegel,
447:I acquired an admiration for Japanese culture, art, and architecture, and learned of the existence of the game of GO, which I still play. ~ Philip Warren Anderson,
448:If proportion is the good breeding of architecture, symmetry, or the answering of one part to another, may be defined as the sanity of decoration. ~ Edith Wharton,
449:It snowed right before Jack stopped talking to Hazel, fluffy white flakes big enough to show their crystal architecture, like perfect geometric poems. ~ Anne Ursu,
450:Any work of architecture that has with it some discussion, some polemic, I think is good. It shows that people are interested, people are involved. ~ Richard Meier,
451:Architecture has recorded the great ideas of the human race. Not only every religious symbol, but every human thought has its page in that vast book. ~ Victor Hugo,
452:Theater publicly reveals the human condition through appealing to both intellect and emotion. Architecture, whether lowly or exalted, can do the same. ~ Hugh Hardy,
453:What do we mean when we ask what the point is? Reflection bakes no bread, but then neither does architecture, music, art, history, or literature. ~ Simon Blackburn,
454:All architecture is shelter, all great architecture is the design of space that contains, cuddles, exalts, or stimulates the persons in that space. ~ Philip Johnson,
455:Fashion, art, and architecture are all so tightly connected; I think the way to approach referencing these art works is by capturing the essence. ~ Roksanda Ilincic,
456:I always look forward to the next project. That is one of the wonderful things about architecture - you always can hope for another project to design. ~ Cesar Pelli,
457:in architecture, mediocrity is more glaringly obvious than in other lines - because there's a huge, physical object such as a building to demonstrate it. ~ Ayn Rand,
458:When I started designing in school, I discovered that I had a knack for it. I fell completely in love with architecture, and I remain in love with it. ~ Cesar Pelli,
459:Architecture is not all about the design of the building and nothing else, it is also about the cultural setting and the ambience, the whole affair. ~ Michael Graves,
460:Concepts differentiate architecture from mere building...A bicycle shed with a concept is architecture; a cathedral without one is just a building. ~ Bernard Tschumi,
461:He was of opinion that what we now vulgarly call the Gothick, ought properly and truly be named Saracenick Architecture refined by the Christians. ~ Christopher Wren,
462:There are only four great arts: music, painting, sculpture, and ornamental pastry- architecture being perhaps the least banal derivative of the latter. ~ Julia Child,
463:The very essence of architecture consists of a variety and development reminiscent of natural organic life. This is the only true style in architecture ~ Alvar Aalto,
464:Architecture is a art when one consciously or unconsciously creates aesthetic emotion in the atmosphere and when this environment produces well being. ~ Luis Barragan,
465:French architecture always manages to combine the most magnificent underlying themes of architecture; like Roman design, it looks to the community. ~ Stephen Gardiner,
466:The building is a national tragedy - a cross between a concrete candy box and a marble sarcophagus in which the art of architecture lies buried. ~ Ada Louise Huxtable,
467:Architecture should have little to do with problem solving - rather it should create desirable conditions and opportunities hitherto thought impossible. ~ Cedric Price,
468:The fine arts are five in number, namely: painting, sculpture, poetry, music, and architecture, the principal branch of the latter being pastry. ~ Marie Antoine Careme,
469:the most beautiful warrior, who has scar tissue designs coiled like railroad tracks over the rigorous architecture of his chest and shoulders and back. ~ Jennifer Egan,
470:After writing all day I go for a walk and see a piece of architecture i want to photograph and i have to take a picture and later a poem comes in my mind. ~ Patti Smith,
471:All architecture is what you do to it when you look upon it;
Did you think it was in the white or gray stone? or the lines of the arches and cornices? ~ Walt Whitman,
472:Architecture is a political act, by nature. It has to do with the relationships between people and how they decide to change their conditions of living. ~ Lebbeus Woods,
473:If architecture is, as is sometimes said, music set in concrete, then football and basketball may be said to be creativity embodied in team sports. ~ Michael Mandelbaum,
474:I feel however, that we architects have a special duty and mission... (to contribute) to the socio-cultural development of architecture and urban planning ~ Kenzo Tange,
475:I like it when people are driven. I love that in any field of work, in architecture or whatever. Like Lorne Michaels - he pays attention to every detail. ~ Fred Armisen,
476:I am always surprised by how much little emphasis schools of architecture, and indeed, many architects, place on the process of the mating of a building. ~ Norman Foster,
477:I love walking along Leiths waterfront and wandering around some of New Towns beautiful streets and squares, with their gorgeous Georgian architecture. ~ Dexter Fletcher,
478:Remember the importance of small actions. They're the building blocks in the architecture of your life, the quiet victories you win for yourself each day. ~ Diane Dreher,
479:What surprises me most in architecture, as in other techniques, is that a project has one life in its built state but another in its written or drawn state. ~ Aldo Rossi,
480:Architecture can't force people to connect, it can only plan the crossing points, remove barriers, and make the meeting places useful and attractive. ~ Denise Scott Brown,
481:In a society that celebrates the inessential, architecture can put up a resistance, counteract the waste of forms and meanings and speak its own language. ~ Peter Zumthor,
482:The architect's role is to fight for a better world, where he can produce an architecture that serves everyone and not just a group of privileged people. ~ Oscar Niemeyer,
483:Did not pleasure depend on an architecture of perspective—on contrast and delay, withholding and loss? Did not true enjoyment rely on facing the future? ~ Gordon Dahlquist,
484:It is not an individual act, architecture. You have to consider your client. Only out of that can you produce great architecture. You cannot work in the abstract ~ I M Pei,
485:I've always been interested in the idea of the artificial landscape. Reforming the landscape. Architecture being a method of reforming the earth's surface. ~ Lebbeus Woods,
486:The Getty Museum & Library is a white monolith of modern architecture perched over one of the worst freeways in LA.  Accessible only by an electric tram, ~ Kate Danley,
487:But after the time there I'd had it with fashion again, so I left to go to architecture school in a summer course at Harvard, which didn't last very long. ~ Stephen Sprouse,
488:Did not pleasure depend on an architecture of perspective--on contrast and delay, withholding and loss? Did not true enjoyment rely in facing the future? ~ Gordon Dahlquist,
489:I think architecture becomes interesting when it has a double character, that is, when it is as simple as possible but, at the same time as complex as possible ~ Tadao Ando,
490:I think the future of architecture does not lie so much in continuing to fill up the landscape, as in bringing back life and order to our cities and towns. ~ Gottfried Bohm,
491:What you newspaper and magazine writers, who work in rabbit time, don't understand is that the practice of architecture has to be measured in elephant time. ~ Eero Saarinen,
492:You can just drift unhappily towards this vision of heaven on earth, and ultimately that is what architecture is a vision of: Heaven on earth, at it's best. ~ Ben Nicholson,
493:A revolution which can transform modes of production but not types of speech, social relations but not styles of architecture, remains radically incomplete. ~ Terry Eagleton,
494:From dawn on the sea was constructing its own architecture of hulks, masts and rigging, under the still sleep-blurred eyes of the men chained together in pairs. ~ Jean Genet,
495:I wanted to be a pilot, but I was always drawing bodies. When I realised I wanted to pursue something creative, my parents pushed me towards architecture. ~ Hussein Chalayan,
496:One of my favorite vacation places is Miami, because of the people, the water and the beach - of course - and the architecture on Miami Beach is so wonderful. ~ Oksana Baiul,
497:Space has always been the spiritual dimension of architecture. It is not the physical statement of the structure so much as what it contains that moves us. ~ Arthur Erickson,
498:The more I examine the universe and the details of its architecture, the more evidence I find that the universe in some sense must have known we were coming. ~ Freeman Dyson,
499:To reduce a library to simple architecture, bricks and mortar is a mistake. Similarly, to suggest a library is defined by the books on the shelf is erroneous. ~ Alan Bennett,
500:I was planning to go into architecture. But when I arrived, architecture was filled up. Acting was right next to it, so I signed up for acting instead. ~ Gilbert K Chesterton,
501:Like architecture, all paraphernalia of warfare are PC objects: the most rational possible instruments at the service of the most irrational possible pursuit.) ~ Rem Koolhaas,
502:Pattern in architecture is the idea of capturing architectural design ideas as archetypal and reusable descriptions.” Christopher Alexander, Architect ~ Alexander Osterwalder,
503:The beauty of my profession [architecture] lies in its randomness and surprise. And don't think I can choose my projects. I have to build what's offered to me. ~ Rem Koolhaas,
504:To sum up the state of architecture in America: ninety percent of the buildings we live in and around aren't architecture. No, that's not right - 98 percent. ~ Philip Johnson,
505:Architecture is the art which so disposes and adorns the edifices raised by man, that the sight of them may contribute to his mental health, power, and pleasure. ~ John Ruskin,
506:Architecture is an imposed art in some ways, imposed upon the public, so people must be sure about what you're doing. You have to be sure about what you're doing. ~ Renzo Piano,
507:Architecture is my work, and Ive spent my whole life at a drawing board, but life is more important than architecture. What matters is to improve human beings. ~ Oscar Niemeyer,
508:Art is a jealous mistress; and if a man have a genius for painting, poetry, music, architecture or philosophy, he makes a bad husband and an ill provider. ~ Ralph Waldo Emerson,
509:Frameworks are tools to be used, not architectures to be conformed to. If your architecture is based on frameworks, then it cannot be based on your use cases. ~ Robert C Martin,
510:The architecture of the Infinite
Discovered here its inward-musing shapes
Captured into wide breadths of soaring stone. ~ Sri Aurobindo, Savitri, The Growth of the Flame,
511:The fundamental belief in the authenticity of photographs explains why photographs of people no longer living and of vanished architecture are so melancholy. ~ Beaumont Newhall,
512:Architecture is a hazardous mixture of omnipotence and impotence. It is by definition a c h a o t i c a d v e n t u r e... In other words, the utopian enterprise. ~ Rem Koolhaas,
513:Good design, like good painting, cooking, architecture or whatever you like, is a manifestation of the capacity of the human spirit to transcend its limitations. ~ George Nelson,
514:Houses are not haunted. We are haunted, and regardless of the architecture with which we surround ourselves, our ghosts stay with us until we ourselves are ghosts. ~ Dean Koontz,
515:Architecture is a social activity that has to do with some sort of communication or places of interaction, and that to change the environment is to change behaviour. ~ Thom Mayne,
516:I once got a little camera to use for details of architecture and so forth but the photo was always so different from the perspective the eye gives, I gave it up. ~ Edward Hopper,
517:I started to draw buildings. I called them Proposed Colossal Monuments - they weren't for real, not for actual building. It was more a critique of architecture. ~ Claes Oldenburg,
518:I would like to use architecture to create bonds between people who live in cities, and even use it to recover the communities that used to exist in every single city. ~ Toyo Ito,
519:I am inspired by music, travel, great architecture, and good, healthy food. I look for opportunities to learn about history, art, and cooking. When I learn, I grow. ~ Tim Matheson,
520:The general public will almost always stand behind the traditionalists. In the public eye, architecture is about comfort, about shelter, about bricks and mortar. ~ Bernard Tschumi,
521:The more I examine the universe and study the details of its architecture, the more evidence I find that the universe in some sense must have known we were coming. ~ Freeman Dyson,
522:Did you know the Death Star had a bar? Ugly, austere little place – really like all Imperial architecture, ugh – and the selection of spirits was hardly commendable. ~ Chuck Wendig,
523:I don't know what happened to architecture [in Las-Vegas] I think they're getting us ready for space colonies or something, nobody puts a window in that you can crack. ~ Jim Carrey,
524:I'd so much rather have exciting architecture that causes one to stop, breathe, and reflect on the potential of the human mind, the craft, and exploring things. ~ William McDonough,
525:I've never had a problem with the old truism about dancing to architecture. I think you can dance to architecture. There's some pretty funky architecture to dance to. ~ Rob Chapman,
526:I would like to attend college in the future when I have time. I have always been interested in architecture, so perhaps I would pursue a degree in that or business. ~ James Maslow,
527:A novel is a piece of architecture. It's not random wallowings or confessional diaries. It's a building-it has to have walls and floors and the bathrooms have to work. ~ John Irving,
528:At that time, for the thought written in stone, there existed a privilege perfectly comparable to our present liberty of the press. It was the liberty of architecture. ~ Victor Hugo,
529:Babbage had most of this system sketched out by 1837, but the first true computer to use this programmable architecture didn’t appear for more than a hundred years. ~ Steven Johnson,
530:Britain gets the architecture it deserves. We don’t value architecture, we don’t take it seriously, we don’t want to pay for it and the architect isn’t trusted. ~ David Chipperfield,
531:In one point of view, Gothic is not only the best, but the only rational architecture, as being that which can fit itself most easily to all services, vulgar or noble. ~ John Ruskin,
532:Architecture is a discipline that takes time and patience. If one spends enough years writing complex novels one might be able, someday, to construct a respectable haiku. ~ Thom Mayne,
533:Architecture is a rare collective profession: it's always exercised by groups. There is an essential modesty, which is a complete contradiction to the notion of a star. ~ Rem Koolhaas,
534:I am but a gatherer and disposer of other men's stuff. ~ Sir Henry Wotton, Preface to the Elements of Architecture; in Hoyt's New Cyclopedia Of Practical Quotations (1922), p. 653-54.,
535:If you're into architecture and you're from the West, everything is hors d'oeuvres for working to rebuild the Temple. Ultimately you're led there. You can't escape it. ~ Ben Nicholson,
536:I just think structure can make a book feel so much bigger. It's the architecture. You could use flimsy materials if you wanted to, even, but it could still feel big. ~ Jami Attenberg,
537:Music, architecture and pictures have always been my passions, and all that material wealth has meant for me, is being able to have some of the pictures I liked. ~ Andrew Lloyd Webber,
538:New York's architecture alone is enough to inspire a whole album. In fact, that's what happened at first - my early stuff was mostly just interpretations of landscapes. ~ Lana Del Rey,
539:Architecture isman'sgreat sense of himself embodied in a world of his own making. It may rise as high in quality only as its source because great art isgreat life. ~ Frank Lloyd Wright,
540:But those musics do not address the larger kind of architecture in time that classical music does, whatever each one of us knows that classical music must mean. ~ Michael Tilson Thomas,
541:I always had some kind of creative side and technical side, and I thought architecture might be the way to combine them, so I went to architecture school in New York. ~ Joseph Kosinski,
542:I have a very strong sense of architecture in my novels. But at first it's sometimes like building a doorknob before you have a door, and a door before you have a room. ~ Nicole Krauss,
543:Money shows [man] new ways to cheat life. Power becomes exterior instead of interior. In these circumstances architecture becomes too difficult, building too easy. ~ Frank Lloyd Wright,
544:Nothing is as dangerous in architecture as dealing with separated problems. If we split life into separated problems we split the possibilities to make good building art. ~ Alvar Aalto,
545:The more I examine the universe and study the details of its architecture, the more evidence I find that the universe in some sense must have known that we were coming. ~ Freeman Dyson,
546:We are stymied by regulations, limited choice and the threat of litigation. Neither consultants nor industry itself provide research which takes architecture forward. ~ Arthur Erickson,
547:I mean, certainly writing, painting, photography, dance, architecture, there is an aspect of almost every art form that is useful and that merges into film in some way. ~ Sydney Pollack,
548:Architecture is the frame of human existence. We must dedicate this existence more to beauty. For if poetic principle has deserted us, how long are we going to last? ~ Frank Lloyd Wright,
549:Everyone should be able to build, and as long as this freedom to build does not exist, the present-day planned architecture cannot be considered art at all. ~ Friedensreich Hundertwasser,
550:Look at your fingers, how the first joint is longer than the second is longer than the end joint. The ratio is Phi, after the sculptor Phidias. The architecture of you. ~ Chuck Palahniuk,
551:What has happened to architecture since the second world war that the only passers-by who can contemplate it without pain are those equipped with a white stick and a dog? ~ Bernard Levin,
552:Architecture is the very mirror of life.You only have to cast your eyes on buildings to feel the presence of the past, the spirit of a place; they are the reflection of society. ~ I M Pei,
553:Architecture is the masterly, correct, and magnificent play of masses brought together in light. Our eyes are made to see forms in light: light and shade reveal these forms. ~ Le Corbusier,
554:I'm convinced Apple has been doing the core/clock speed architecture right, while other OEMS are more caught up in this core count race that isn't really going anywhere. ~ Marques Brownlee,
555:Architecture is my first love, if you want to talk about what moves me... the ordering of space, the visual pleasure, architecture's power to construct our days and nights. ~ Barbara Kruger,
556:If architecture had nothing to do with art, it would be astonishingly easy to build houses, but the architect's task - his most difficult task - is always that of selecting. ~ Arne Jacobsen,
557:In architecture the pride of man, his triumph over gravitation, his will to power, assume a visible form. Architecture is a sort of oratory of power by means of forms. ~ Friedrich Nietzsche,
558:In music I do not look for logic. I am quite intuitive on the whole and know no theories. I never like a work if I cannot intuitively grasp its inner unity (architecture). ~ Albert Einstein,
559:In proportion as architecture degenerated, printing throve and flourished. The capital of forces which human thought had expended in building, it henceforth expended in books. ~ Victor Hugo,
560:Italian cities have long been held up as ideals, not least by New Yorkers and Londoners enthralled by the ways their architecture gives beauty and meaning to everyday acts. ~ Rebecca Solnit,
561:I think in America there's this free flow between fashion, art, architecture, music and design. In Europe it's more segregated between those different disciplines I think. ~ Marco Brambilla,
562:Later works are better because it takes a lot of time in architecture to mature. And, it takes a lot of discipline to experience everything that is changing around you. ~ Santiago Calatrava,
563:My mother studied English and drama at the University of Pennsylvania, where my father studied architecture. She was a great influence in all sorts of ways, a wicked wit. ~ Donald Barthelme,
564:Switzerland is a small, steep country, much more up and down than sideways, and is all stuck over with large brown hotels built on the cuckoo clock style of architecture. ~ Ernest Hemingway,
565:The first value of software—behavior—is urgent but not always particularly important. The second value of software—architecture—is important but never particularly urgent. ~ Robert C Martin,
566:The great advances of civilization, whether in architecture or painting, in science or literature, in industry or agriculture, have never come from centralized government. ~ Milton Friedman,
567:The greatest products of architecture are less the works of individuals than of society; rather the offspring of a nation's effort, than the inspired flash of a man of genius. ~ Victor Hugo,
568:At this present time, matter is still the best way to think of architecture, but I'm not so sure for very long. The computer is radicalizing the way we think about our world. ~ Ben Nicholson,
569:I left science, then I went into art, but I approach things very analytically. I choose to pursue both art and architecture as completely separate fields rather than merging them. ~ Maya Lin,
570:You look great," he said. It made her smile, even if it was a lie. "I'm as big as a house." He laughed. "I like houses. In fact, I'm thinking about architecture as a career. ~ Kristin Hannah,
571:Everything in the service needs to preach - architecture, lighting, songs, prayers, fellowship, the smell - it all preaches. All five senses must be engaged to experience God. ~ Mark Driscoll,
572:I participated in the transformation of my era. I did it with clothes, which is surely less important than music, architecture, painting but whatever it's worth I did it. ~ Yves Saint Laurent,
573:Noble life demands a noble architecture for noble uses of noble men. Lack of culture means what it has always meant: ignoble civilization and therefore imminent downfall. ~ Frank Lloyd Wright,
574:Once you learn to look at architecture not merely as an art more or less well or more or less badly done, but as a social manifestation, the critical eye becomes clairvoyant. ~ Louis Sullivan,
575:The greatest products of architecture are less the works of individuals than of society; rather the offspring of a nation's effort, than the inspired flash of a man of genius... ~ Victor Hugo,
576:Architecture is essentially Human; it is the Human spirit manifesting itself. For when a Man builds, there, you've got him; you know exactly what, who and how that Man is. ~ Frank Lloyd Wright,
577:As a writer, you always read in two minds: You read as a reader and you enjoy it, and you look at it as a writer, and you just admire the architecture and the construction. ~ Elizabeth Gilbert,
578:In architecture as in all other operative arts, the end must direct the operation. The end is to build well. Well building has three conditions: Commodity, Firmness and Delight. ~ Henry Wotton,
579:Look, architecture has a lot of places to hide behind, a lot of excuses. "The client made me do this." "The city made me do this." "Oh, the budget." I don't believe that anymore. ~ Frank Gehry,
580:Ah, it is hard to find this track of divine in the midst of this life we lead, in this besotted humdrum age of spiritual blindness, with its architecture, its politics, its men! ~ Hermann Hesse,
581:Architecture can't fully represent the chaos and turmoil that are part of the human personality, but you need to put some of that turmoil into the architecture, or it isn't real. ~ Frank Stella,
582:Everyone asks about how I'll feel about the tattoos and scars in thirty years. I always say: "I'll like them." I've always loved damaged monuments, in architecture and in humans. ~ Emma Forrest,
583:Japanese traditional architecture is created based on these conditions. This is the reason you have a very high degree of connection between the outside and inside in architecture. ~ Tadao Ando,
584:They used to say that Gothic architecture was about creating spaces for shadows. All that ornamentation was about what you couldn’t see. Concealment. The divine in the darkness. ~ Nick Harkaway,
585:When I start, my first idea for a building is with the material. I believe architecture is about that. It's not about paper, it's not about forms. It's about space and material. ~ Peter Zumthor,
586:Japanese ideas about religion, architecture, theater, and literature are based on wa and shunyata—concepts of plentitude and uncertainty, of togetherness framed by impermanence. ~ Gretel Ehrlich,
587:Noble life demands a noble architecture for noble uses of noble men.
Lack of culture means what it has always meant: ignoble civilization and therefore imminent downfall. ~ Frank Lloyd Wright,
588:Space, space: architects always talk about space! But creating a space is not automatically doing architecture. With the same space, you can make a masterpiece or cause a disaster. ~ Jean Nouvel,
589:The idealism [in architecture] is in the formal arrangement, the relationship to the city, the use of materials that are available to me. That's where I say our powers are limited. ~ Frank Gehry,
590:Doing anything in Japan as a sort of architecture - related project is just fantastic because they do everything so perfectly and so quickly. It's unlike anywhere else in the world. ~ Marc Newson,
591:I have an interest in architecture, although more theoretically than anything else. I think architecture tries to understand what the body wants to occupy, not the body itself. ~ Hussein Chalayan,
592:It is hard not to see into the future, faced with today's blind architecture - a thousand times more stupid and more revolting than that of other ages. How bored we shall be inside! ~ Andr Breton,
593:My apartment reflects my views as an architect. It is minimal, austere. The architecture doesn't impose itself upon you. The apartment is a stage for other things to take place. ~ Bernard Tschumi,
594:It is hard not to see into the future, faced with today's blind architecture - a thousand times more stupid and more revolting than that of other ages. How bored we shall be inside! ~ Andre Breton,
595:I would fix other people's lines if they asked me on occasion. The hard part of writing is the architecture of it, getting the story and structuring it. Not the tweaking of lines. ~ William Devane,
596:The architecture per se isn't at fault. The more important factor, in my view, is the political neglect of these areas, which have essentially been cut off from other neighborhoods. ~ Rem Koolhaas,
597:Without this spirit, Modernist architecture cannot fully exist. Since there is often a mismatch between the logic and the spirit of Modernism, I use architecture to reconcile the two. ~ Tadao Ando,
598:Good architecture is still the difficult, conscientious, creative, expressive planning for that elusive synthesis that is a near-contradiction in terms: efficiency and beauty. ~ Ada Louise Huxtable,
599:The ultimate goal of the architect...is to create a paradise. Every house, every product of architecture... should be a fruit of our endeavour to build an earthly paradise for people. ~ Alvar Aalto,
600:Every time a student walks past a really urgent, expressive piece of architecture that belongs to his college, it can help reassure him that he does have that mind, does have that soul. ~ Louis Kahn,
601:It's not so much about form versus functionality. Rather, it's about doing both and doing them a lot and doing them well-and that's how we should be talking about architecture. ~ Joshua Prince Ramus,
602:Modernist architecture and town planning is inimical to human beings ... based on the Darwinian concept that evolution is open ended, that there must always be something new and better. ~ Leon Krier,
603:What counts more than style is whether architecture improves our experience of the built world; whether it makes us wonder why we never noticed places in quite this way before. ~ Ada Louise Huxtable,
604:Chicago has so much excellent architecture that they feel obliged to tear some of it down now and then and erect terrible buildings just to help us all appreciate the good stuff. ~ Audrey Niffenegger,
605:I studied architecture in New York. So, really I was very moved, like everyone else, to try to contribute something that has that resonance and profundity of it means to all of us. ~ Daniel Libeskind,
606:Persia is very different from the Arab Middle East in terms of architecture and language. Even though we think of them as one big Middle Eastern area, in truth, Persia's quite distinct. ~ Ben Affleck,
607:The measure of its nobility and its continuity is its depth of feeling and its sincerity. And if it has that quality, it stands.

"Toward a New architecture" July 14, 1957 ~ Frank Lloyd Wright,
608:The software architecture of a computing system is the set of structures needed to reason about the system, which comprise software elements, relations among them, and properties of both. ~ Anonymous,
609:The Welsh are the only nation in the world that has produced no graphic or plastic art, no architecture, no drama. They just sing. Sing and blow down wind instruments of plated silver. ~ Evelyn Waugh,
610:Architecture would lead us to all the arts, as it did with earlier mean: but if we despise it and take no note of how we are housed, the other arts will have a hard time of it indeed. ~ William Morris,
611:A tranquil city of good laws, fine architecture, and clean streets is like a classroom of obedient dullards, or a field of gelded bulls - whereas a city of anarchy is a city of promise. ~ Mark Helprin,
612:A building is no good if someone's got to explain to you why it's good. You can't say you don't know enough about architecture - that's ridiculous. It's got to work on many levels. ~ David Chipperfield,
613:Any architectural project we do takes at least four or five years, so increasingly there is a discrepancy between the acceleration of culture and the continuing slowness of architecture. ~ Rem Koolhaas,
614:Architecture has always been a very idealistic profession. It's about making the world a better place and it works over the generations because people go on vacation and they look for it. ~ Frank Gehry,
615:Even though I build buildings and I pursue my architecture, I pursue it as an artist. I deliberately keep a tiny studio. I don't want to be an architectural firm. I want to remain an artist. ~ Maya Lin,
616:Poetry is not only dream and vision; it is the skeleton architecture of our lives. It lays the foundations for a future of change, a bridge across our fears of what has never been before. ~ Audre Lorde,
617:All architecture, which does not express serenity, fails in its spiritual mission. Thus, it has been a mistake to abandon the shelter of walls for the inclemency of large areas of glass. ~ Luis Barragan,
618:Architecture is like a mythical fantastic. It has to be experienced. It can't be described. We can draw it up and we can make models of it, but it can only be experienced as a complete whole. ~ Maya Lin,
619:I received the fundamentals of my education in school, but that was not enough. My real education, the superstructure, the details, the true architecture, I got out of the public library. ~ Isaac Asimov,
620:My passion and great enjoyment for architecture, and the reason the older I get the more I enjoy it, is because I believe we - architects - can effect the quality of life of the people. ~ Richard Rogers,
621:Architecture and war are not incompatible. Architecture is war. War is architecture. I am at war with my time, with history, with all authority that resides in fixed and frightened forms. ~ Lebbeus Woods,
622:I think architecture is one of the predominant orderings of social space. It can construct and contain our experiences. It defines our days and nights. It literally puts us in our place. ~ Barbara Kruger,
623:Manhattan has generated a shameless architecture that has been loved in direct proportion to its defiant lack of self-hatred, has been respected exactly to the degree that it went too far. ~ Rem Koolhaas,
624:architecture to spiritually uplift and thought it was a very bad idea to build functional, uninspired blocks of flats that would depress both their inhabitants and society at large. And, ~ Menna van Praag,
625:As more and more architecture is finally unmasked as the mere organization of flow - shopping centers, airports - it is evident that circulation is what makes or breaks public architecture. ~ Rem Koolhaas,
626:If you're inclined to dismiss L.A. as a place of unrelenting vapidity and generic 1980s architecture, then you're doing yourself and L.A. a huge disservice, and you're just not looking hard enough. ~ Moby,
627:Many of the received models of modern architecture and planning owe their ultimate origin to the building code and public health reform movements of the second half of the 19th century. ~ Kenneth Frampton,
628:The same way that mid century modern architecture was in the 50s, I want to be as a human being. New. Different. Challenging the old. Function over frivolity. Clean living. Clean lines. ~ Jamie Lee Curtis,
629:The similarity of architecture in organized, complex systems suggests that they all share universal requirements. They are designed to be “efficient, adaptive, evolvable, and robust. ~ Michael S Gazzaniga,
630:Today's developer is a poor substitute for the committed entrepreneur of the last century for whom the work of architecture represented a chance to celebrate the worth of his enterprise. ~ Arthur Erickson,
631:You look great," he said.

It made her smile, even if it was a lie. "I'm as big as a house."

He laughed. "I like houses. In fact, I'm thinking about architecture as a career. ~ Kristin Hannah,
632:A part of all art is to make silence speak. The things left out in painting, the note withheld in music, the void in architecture - all are as necessary and as active as the utterance itself. ~ Freya Stark,
633:I am sometimes visited by the heretical thought that there is no such thing as good and bad architecture, any more than there is good and bad nature. It is all in where you stand at the time. ~ John Updike,
634:One of the many innovations of modernism was the new demands it placed on the audience. Music, painting, literature, even architecture, would never again be quite so 'easy' as they had been. ~ Peter Watson,
635:Tension is an interesting quality - and architecture must have it. There should be elements of the inexplicable, the mysterious, and the poetic in something that is perfectly rational. ~ Annabelle Selldorf,
636:The architecture of change involves the design and construction of new patterns, or the reconceptualization of old ones, to make new, and hopefully more productive, actions possible. ~ Rosabeth Moss Kanter,
637:The world needs a new global architecture, additional layers of governance, to deal with issues that neither nations nor traditional forms of intergovernmental organizations can cope with. ~ Amitai Etzioni,
638:All those involved in the construction of an architectural design, from the architect to the builder, have an attachment to the architecture, although it's difficult to quantify the attachment. ~ Tadao Ando,
639:Architecture and building is about how you get around the obstacles that are presented to you. That sometimes determines how successful you'll be: How good are you at going around obstacles? ~ Jeremy Renner,
640:Don't look at the superficial success, at the short-term success. Look at the deep spiritual questions that architecture has to answer. Who do you build for? Where? What should you build? ~ Daniel Libeskind,
641:In this branch of utopian real estate, architecture is no longer the art of designing buildings so much as the brutal skyward extrusion of whatever site the developer has managed to assemble. ~ Rem Koolhaas,
642:I didnt know what architecture was except that I lived in a house. I dont even think that I knew the word for a long time. My dad funneled me into engineering because it was his background. ~ Antoine Predock,
643:It wasn't that I had any great dream of being an architect. I just wanted to make things. Whether it was furniture, painting, interior design, or architecture. I just wanted to create something. ~ Tadao Ando,
644:Charleston has something for everyone, rain or shine. Its architecture is unparalleled. Carriage rides are great for seeing the city and hearing the history behind certain houses and the area. ~ Thomas Gibson,
645:Psilocybin, tryptamine, is in my opinion the means to eliminating the future by becoming cognizant of the architecture of eternity, which is modulating time and causing history, essentially. ~ Terence McKenna,
646:Fashion is everything. Art, music, furniture design, graphic design, hair, makeup, architecture, the way cars look - all those things go together to make a moment in time, and that's what excites me. ~ Tom Ford,
647:Architecture is the printing-press of all ages, and gives a history of the state of the society in which it was erected, from the cromlech of the Druids to those toy-shops of royal bad taste ~ Sydney Lady Morgan,
648:Convinced as I am and as I am from my government that the world needs a new moral architecture over all I believe that this should be the first topic to debate in our world of today, ethics, moral. ~ Hugo Chavez,
649:Art itself, in all its methods, is the child of religion. The highest and best works in architecture, sculpture and painting, poetry and music, have been born out of the religion of Nature. ~ James Freeman Clarke,
650:In architecture volume can be seen to be either a portion of space contained and defined by wall, floor and ceiling or roof planes or a quantity of space displaced by the mass of the building. ~ Francis D K Ching,
651:In art or architecture your project is only done when you say it's done. If you want to rip it apart at the eleventh hour and start all over again, you never finish. I was one of those crazy creatures. ~ Maya Lin,
652:I still hope that the American system of checks and balances will ultimately prevent this man, Donald Trump, with his erratic political style, from jeopardizing our European security architecture. ~ Martin Schulz,
653:It was stated, . . . that the value of architecture depended on two distinct characters:--the one, the impression it receives from human power; the other, the image it bears of the natural creation. ~ John Ruskin,
654:A building that has great environmental responsibility is a political animal in a way because it becomes promotional of a cause. I think that kind of advocacy through architecture is really good. ~ Antoine Predock,
655:Engineering, medicine, business, architecture and painting are concerned not with the necessary but with the contingent - not with how things are but with how they might be - in short, with design. ~ Herbert Simon,
656:Analogising architecture with ethics helps us to discern that there is unlikely ever to be a single source of beauty in a building, just as no one quality can ever underpin excellence in a person. ~ Alain de Botton,
657:Architecture is life, or at least it is life itself taking form and therefore it is the truest record of life as it was lived in the world yesterday, as it is lived today or ever will be lived. ~ Frank Lloyd Wright,
658:Architecture is the alphabet of giants; it is the largest set of symbols ever made to meet the eyes of men. A tower stands up like a sort of simplified stature, of much more than heroic size. ~ Gilbert K Chesterton,
659:At any other time Doug would have been slowing the car, peering through the trees, on the lookout for interesting old architecture. Because Douglas Llewellyn was an architect, the senior partner of ~ Kasey Michaels,
660:When you have rules to abide by, does that curtail you as a designer, or set you free? People think of classical architecture visually, but I think the brilliant part of it is actually spatial. ~ Annabelle Selldorf,
661:The aesthetic of architecture has to be rooted in a broader idea about human activities like walking, relaxing and communicating. Architecture thinks about how these activities can be given added value. ~ Thom Mayne,
662:The pleasure of doing the same thing, in the same way, every day, shouldn't be overlooked. The things I do every day take on a certain beauty and provide a kind of invisible architecture to my life. ~ Gretchen Rubin,
663:Unless you took courses in architecture, engineering, or pre-med, the rest of your liberal arts education hardly prepares you for life as the business warrior and champion you envision yourself to be. ~ Gene Simmons,
664:It is today an accepted principle of golfing architecture that the tiger should be teased and trapped and tested, while the rabbit should be left to peace, since he can make his own hell for himself. ~ Bernard Darwin,
665:The heximal system gets later on modulated with the septimal system as seen on the architecture of the Great Pyramid of Giza. Menkaure then comes after that and modulates it with the decimal system. ~ Ibrahim Ibrahim,
666:This combination of soundproofed offices connected to large common areas yields a hub-and-spoke architecture of innovation in which both serendipitous encounter and isolated deep thinking are supported. ~ Cal Newport,
667:Architecture is for the young. If our teenagers don't get architecture - if they are not inspired, (then) we won't have the architecture that we must have if this country is going to be beautiful. ~ Frank Lloyd Wright,
668:I looked up in curiosity. Behind us stood the Brown and Eagle Wool Warehouse and Schneider's Cap Factory, both constructed with that wholehearted devotion to industry that sullied the word architecture. ~ Lyndsay Faye,
669:My ambition has always been to reduce a building's support to a minimum. The more we diminish supporting structures, the more audacious and important the architecture is. That has been my life's work. ~ Oscar Niemeyer,
670:"Story-tellers" should listen seriously to design and architecture without getting all literary and imperial about that. Hackers are arrogant geek romantics. They lack the attentive spirit of inquiry. ~ Bruce Sterling,
671:Such was a poet and shall be and is -who'll solve the depths of horror to defend a sunbeam's architecture with his life: and carve immortal jungles of despair to hold a mountain's heartbeat in his hand. ~ e e cummings,
672:The weird thing about houses is that they almost always look like nothing is happening inside of them, even though they contain most of our lives. I wondered if that was sort of the point of architecture. ~ John Green,
673:All the revision in the world will not save a bad first draft: for the architecture of the thing comes, or fails to come, in the first conception, and revision only affects the detail and ornament, alas! ~ T E Lawrence,
674:But I feel truly wowed by the architecture and the meaning of the architecture if you get lost in it and think about the man hours in the smallest little chapel, and the love involved. God its fantastic. ~ Paul Bettany,
675:In 2001, the Southern California Institute of Architecture (SCI-arc), an avant-garde architecture school that has produced some of the prominent architects in the country, relocated to the Artists District ~ James Frey,
676:People say, "This is the world the way it is, and don't bother me." Then when somebody does something different, real architecture, the push-back is amazing. People resist it. At first it's new and scary. ~ Frank Gehry,
677:So I decided that if the architecture is fundamentally sane enough, say it follows some basic rules like it supported paging , then I would be able to say, yes, Linux fundamentally supports that model. ~ Linus Torvalds,
678:And when an architect has designed a house with large windows, which is a necessity today in order to pull the daylight into these very deep houses, then curtains come to play a big role in architecture. ~ Arne Jacobsen,
679:Architecture falls between art and airports. It's pragmatic-it helps you get from point A to point B. But it also works as art. It makes you think twice. It inspires you. It brings you back to yourself. ~ Ben van Berkel,
680:Each of the arts has its own particular leprosy, its mortal ignominy that eats its face away. Painting has the family group, music the ballad, literature the criticism, and architecture the architect. ~ Gustave Flaubert,
681:Habits are the invisible architecture of everyday life, and a significant element of happiness. If we have habits that work for us, we’re much more likely to be happy, healthy, productive, and creative. ~ Gretchen Rubin,
682:located in El Paseo, a slightly old-world marketplace downtown. Traditional Spanish architecture and winding adobe hallways led to quaint gift shops and jewelry stores. It was old-world meets tourist trap. ~ Lee Nichols,
683:Nothing man made was perfect. A partnership wasn't about being beautiful and adored...it was about incorporating the mistakes into the architecture and continuing to build something beautiful. Together. ~ Suanne Laqueur,
684:The principle of the Gothic architecture is infinity made imaginable. It is no doubt a sublimer effort of genius than the Greek style; but then it depends much more on execution for its effect. ~ Samuel Taylor Coleridge,
685:We must recognize that we can't solve our problems now until there is a radical redistribution of economic and political power.... a radical restructuring of the architecture of American society. ~ Martin Luther King Jr,
686:Allow the information to tell you how it wants to be displayed. As architecture is ‘frozen music’, information architecture is ‘frozen conversation’. Any good conversation is based on understanding. ~ Richard Saul Wurman,
687:Besides numerous science courses, I had the opportunity to study philosophy, the history of architecture, economics, and Russian history in courses taught by extraordinarily knowledgeable professors. ~ Stanley B Prusiner,
688:I can write, because writing—unlike choreography, architecture, or conquering kingdoms—is a thing you can do when you’re lonely and poor, and have no infrastructure, i.e., a ballet troupe or some cannons. ~ Caitlin Moran,
689:In addressing a task, one almost always has several possible options, sometimes only a few, and they may all be practical and functional. But they lack the aesthetic aspect that raises it to architecture. ~ Arne Jacobsen,
690:In the morning a man walks with his whole body; in the evening, only with his legs. RALPH WALDO EMERSON, Journals and Miscellaneous Notebooks Greek architecture is the perfect flowering of geometry. ~ Ralph Waldo Emerson,
691:Such was a poet and shall be and is
-who'll solve the depths of horror to defend a sunbeam's architecture with his life: and carve immortal jungles of despair to hold a mountain's heartbeat in his hand. ~ E E Cummings,
692:To have a young person speak back, to hand him the microphone for his first-person utterances, you'd have to have an imagined architecture, otherwise people would say you're putting words in their mouths. ~ Fred D Aguiar,
693:As a child, I always enjoyed building forts by stringing up bed sheets and clothes. I continue to be inspired by makeshift structures, including my own kids' forts and temporary architecture of all sorts. ~ Janet Echelman,
694:It follows that the balance we approve of in architecture, and which we anoint with the word 'beautiful', alludes to a state that, on a psychological level, we can describe as mental health or happiness. ~ Alain de Botton,
695:My mother took me to Venice one time and showed me all the houses where famous composers used to live. It gave me a fascination for music and the city, but also for architecture. It was a valuable lesson. ~ Ben van Berkel,
696:Regarding C, without a doubt, I can say that a compiler of it has been written for any hardware architecture ever created.
I will not be surprised if alien spaceships had their own C compiler on board. ~ Konrad Kokosa,
697:I don't think of form as a kind of architecture. The architecture is the result of the forming. It is the kinesthetic and visual sense of position and wholeness that puts the thing into the realm of art. ~ Roy Lichtenstein,
698:I love building spaces: architecture, furniture, all of it, probably more than fashion. The development procedure is more tactile. It's about space and form and it's something you can share with other people. ~ Donna Karan,
699:People can inhabit anything. And they can be miserable in anything and ecstatic in anything. More and more I think that architecture has nothing to do with it. Of course, that's both liberating and alarming. ~ Rem Koolhaas,
700:Architecture is a science arising out of many other sciences, and adorned with much and varied learning; by the help of which a judgment is formed of those works which are the result of other arts. ~ Marcus Vitruvius Pollio,
701:Habits are the invisible architecture of daily life. We repeat about 40 percent of our behavior almost daily, so our habits shape our existence, and our future. If we change our habits, we change our lives. ~ Gretchen Rubin,
702:In martial arts one of the first things that you learn is to be balanced. Balance is the central principle in architecture and design. Balance is a way of trying to talk about being at the center of things. ~ Frederick Lenz,
703:It's not new that architecture can profoundly affect a place, sometimes transform it. Architecture and any art can transform a person, even save someone. It can for children - for anyone. It still does for me. ~ Frank Gehry,
704:A software architecture is defined by a configuration of architectural elements--components, connectors, and data--constrained in their relationships in order to achieve a desired set of architectural properties. ~ Anonymous,
705:Billy switched on a floor lamp. The light from the single source threw the baroque detailing of Montana’s body into sharp relief. Billy was reminded of fantastic architecture in Dresden, before it was bombed. ~ Kurt Vonnegut,
706:Every McDonald’s, for instance, looks the same—the company deliberately tries to standardize stores’ architecture and what employees say to customers, so everything is a consistent cue to trigger eating routines. ~ Anonymous,
707:In architecture, space was a material to be shaped, even created. For these men, the material was silence. Silence like water in which you could drown, the absence of talk as constricting as the absence of air. ~ Amy Waldman,
708:I think the chance of finding beauty is higher if you don't work on it directly. Beauty in architecture is driven by practicality. This is what you learn from studying the old townscapes of the Swiss farmers. ~ Peter Zumthor,
709:Only when architect, bricklayer and tenant are a unity, or one and the same person, can we speak of architecture. Everything else is not architecture, but a criminal act which has taken on form. ~ Friedensreich Hundertwasser,
710:The architecture—the mind—is knitting together. It’s sentience. Vague sentience. All these years of formulating machines that know something, while the secret is to create machines that don’t know something. ~ Scott Hutchins,
711:Through training, practice, and a deep sense of optimism, architects see opportunities where others only see a void. This has been the driving principle behind Architecture for Humanity since our founding. ~ Cameron Sinclair,
712:Titles by their nature imply that the play’s architecture is like a bull’s-eye (and some are) with the point being in the center. Sometimes the point is in the margins, or in the experience of throwing the dart. ~ Sarah Ruhl,
713:Even if it's not a style of architecture or period you like, a decorator has to have a feel for a house's personality and try not to fight against it. I like to get the juice out of a house and not spoil it. ~ Nancy Lancaster,
714:L'architecture n'a rien à voir avec les «styles». Les Louis XV, XVI, XIV ou le Gothique, sont à l'architecture ce qu'est une plume sur la tête d'une femme; c'est parfois joli, mais pas toujours et rien de plus. ~ Le Corbusier,
715:Since I am a Japanese man who's been building through the experience of Japanese architecture, my actual designs come from Japanese architectural concepts, although they're based on Western methods and materials. ~ Tadao Ando,
716:The exchange between different cultures can not possibly be seen as a threat, when it is friendly. But I believe that the dissatisfaction with the overall architecture often depends on the quality of leadership. ~ Amartya Sen,
717:In a way I spend my entire life stealing from everything - from the past, from cities I love, from where I grew up - grabbing things, taking not only from architecture but from Italy, art, writing, poetry, music. ~ Renzo Piano,
718:Sometimes it takes a crisis for people to agree that what is obvious and should have been done years ago, can no longer be postponed. We must create a new international financial architecture for the global age. ~ Gordon Brown,
719:true home of a generation of completely uninhibited technophiles. She was talking about those odds and ends of “futuristic” Thirties and Forties architecture you pass daily in American cities without noticing; ~ William Gibson,
720:Information and inspiration are everywhere... history, art, architecture, everything an illustrator needs. Europe is, after all, the land that has generated most of the enduring myths and legends of Western culture. ~ John Howe,
721:That's what you have to find in architecture. You have to find your signature. When you find it, you're the only expert on it. People can say they like it or don't like it. They can argue about it, but it's yours. ~ Frank Gehry,
722:A nudge, as we will use the term, is any aspect of the choice architecture that alters people’s behavior in a predictable way without forbidding any options or significantly changing their economic incentives. ~ Richard H Thaler,
723:Architecture will always express the technical and social progress of the country in which it is carried out. If we wish to give it the human content that it lacks, we must participate in the political struggle. ~ Oscar Niemeyer,
724:He considered razing the house and rebuilding, but he realized that houses are not haunted, and regardless of the architecture with which we surround ourselves,our ghosts stay with us until we ourselves are ghosts. ~ Dean Koontz,
725:I do not feel like an alien in this universe. The more I examine the universe and study the details of its architecture, the more evidence I find that the universe is some sense must have known that we were coming ~ Freeman Dyson,
726:I'm often called an old-fashioned modernist. But the modernists had the absurd idea that architecture could heal the world. That's impossible. And today nobody expects architects to have these grand visions any more. ~ Thom Mayne,
727:There were so many things a tree could do: add color, provide shade, drop fruit or become a children's playground, a whole sky universe to climb and hang from; an architecture of food and pleasure, that was a tree. ~ Ray Bradbury,
728:Through myth, image and geometric proportion, Schwaller de Lubicz believed, the Egyptians were able to encapsulate in their writing and architecture the basic pattern structures of the natural universe.2 ~ R A Schwaller de Lubicz,
729:When you look at Japanese traditional architecture, you have to look at Japanese culture and its relationship with nature. You can actually live in a harmonious, close contact with nature - this very unique to Japan. ~ Tadao Ando,
730:Architects mostly work for privileged people, people who have money and power,” Ban said recently. “Power and money are invisible, so people hire us to visualize their power and money by making monumental architecture. ~ Anonymous,
731:I attended a lecture by a gray-haired old man from Finland, who later I discovered was the architect Alvar Aalto. I was very moved. I wasn't interested in architecture, but it was a moving thing I've never forgotten. ~ Frank Gehry,
732:Incremental Requirements 273 Customer Tests 278 Test-Driven Development 285 Refactoring 303 Simple Design 314 Incremental Design and Architecture 321 Spike Solutions 331 Performance Optimization 335 Exploratory Testing ~ Anonymous,
733:I probably spent the first 20 years of my life wanting to be as American as possible. Through my 20s, and into my 30s, I began to become aware of how so much of my art and architecture has a decidedly Eastern character. ~ Maya Lin,
734:I think New Orleans is such a beautiful city. It looks like a fairytale when you walk through the French Quarter or the Garden District. There is such a lush sense of color, style, architecture - and the people themselves. ~ Anika,
735:The organizational architecture is really that a centipede walks on hundred legs and one or two don't count. So if I lose one or two legs, the process will go on, the organization will go on, the growth will go on. ~ Mukesh Ambani,
736:There were so many things a tree could do: add color, provide shade, drop fruit, or become a children's playground, a whole sky universe to climb and hang from; an architecture of food and pleasure, that was a tree. ~ Ray Bradbury,
737:Italy is full of historical buildings. And Europe holds a great history of philosophy from Greece until today. I read all those books and see these buildings, and I think of where I stand when I design my architecture. ~ Tadao Ando,
738:Success will only happen with Afghans leading the charge, and it is far, far more important for Kabul to create and support a purpose-driven school of architecture than to invite a high profile designer to build. ~ Cameron Sinclair,
739:The Aga Khan Award for Architecture seeks to make a better place in physical terms. This means trying to bring values into environments, buildings, and contexts that improve the quality of life for future generations. ~ Aga Khan IV,
740:What holds us back in life is the invisible architecture of fear. It keeps us in our comfort zones, which are, in truth, the least safe places in which to live. Indeed, the greatest risk in life is taking no risks. ~ Robin S Sharma,
741:I have found a paper of mine among some others in which I call architecture 'petrified music.' Really there is something in this; the tone of mind produced by architecture approaches the effect of music. ~ Johann Wolfgang von Goethe,
742:I think that narrative, fiction filmmaking is the culmination of several art forms: theater, art history, architecture. Whereas doc filmmaking is more pure cinema, like cinema verite is film in its purest form. ~ George Hickenlooper,
743:It's my goal to make a building as immaterial as possible. Architecture is a very material thing. It takes a lot of resources, so why not eliminate what you don't need as long as you're able to achieve the same result? ~ Helmut Jahn,
744:You might say that when you step inside, you're entering a honorific space, but that's something totally different than experiencing it. And in architecture the experience comes first. That has the deepest effect on us. ~ Thom Mayne,
745:Good architecture makes the system easy to understand, easy to develop, easy to maintain, and easy to deploy. The ultimate goal is to minimize the lifetime cost of the system and to maximize programmer productivity. ~ Robert C Martin,
746:There is a lot of interest in the arts, music, theatre, filmmaking, engineering, architecture and software design. I think we have now transitioned the modern-day version of the entrepreneur into the creative economy. ~ John Baldacci,
747:An architect is a person who builds homes or structures, stadiums even. A Supreme Architect is someone who actually built the universe. So, if I say I am the Supreme Architecture, I'm letting Allah speak. I'm becoming a vehicle. ~ RZA,
748:Architecture is not about designing somehting from a free, fanciful idea. It is about discovering and establishing one's own principle, some kind of regularity - finding an individual formula to apply to one's buildings. ~ Shigeru Ban,
749:Gregorian chant, Romanesque architecture, the Iliad , the invention of geometry were not, for the people through whom they were brought into being and made available to us, occasions for the manifestation of personality. ~ Simone Weil,
750:I started off in architecture, and I just couldn't fit into the vibe there. I just felt more at home in the Art Department, so I just ended up there. But I would be an architect if it didn't require so much engineering. ~ Larkin Grimm,
751:Of the individual poems, some are more lyric and some are more descriptive or narrative. Each poem is fixed in a moment. All those moments written or read together take on the movement and architecture of a narrative. ~ Marilyn Hacker,
752:Talent finds its models, methods, and ends in society, exists for exhibition, and goes to the soul only for power to work. Genius is its own end, and draws its means and the style of its architecture from within. ~ Ralph Waldo Emerson,
753:The visual system of the brain has the organization, computational profile, and architecture it has in order to facilitate the organism's thriving at the four Fs: feeding fleeing, fighting, and reproduction. ~ Vilayanur S Ramachandran,
754:Type is one of the most eloquent means of expression in every epoch of style. Next to architecture, it gives the most characteristic portrait of a period and the most severe testimony of a nation's intellectual status. ~ Peter Behrens,
755:A relationship is not a death row
pardon. Being alone is not the end.
Your own head is a beautiful place
to visit. The architecture of your
thoughts deserves recognition for
its vast complexity and beauty. ~ Emm Roy,
756:Find what gave you emotion; what the action was that gave you excitement. Then write it down making it clear so that the reader can see it too. Prose is architecture, not interior decoration, and the Baroque is over. ~ Ernest Hemingway,
757:I consider that sex is part of life as much as architecture, fashion, art or food. Sex is life, simple. And I refuse to consider that sex should be hidden. When you hide sex, problems start because sex becomes dangerous. ~ Olivier Zahm,
758:I have to carve the gargoyles, because I can carve nothing else; I leave to others the angels and the arches and the spires. But I am very sure of the style of the architecture, and of the consecration of the church.27 ~ G K Chesterton,
759:In a strange way, architecture is really an unfinished thing, because even though the building is finished, it takes on a new life. It becomes part of a new dynamic: how people will occupy it, use it, think about it. ~ Daniel Libeskind,
760:What's fascinating about D.C., the exteriors are these elaborate structures, this gorgeous architecture and beautiful stonework, and then you go inside and it's crap-looking - apart from the White House, which is beautiful. ~ Tony Hale,
761:Architecture has curled up in a ball and it's about itself. It has found itself either as a freakshow, where you're not sure if it's good or bad but at least it's interesting, or at the behest of forces of commerce. ~ David Chipperfield,
762:I believe very strongly, and have fought since many years ago - at least over 30 years ago - to get architecture not just within schools, but architecture talked about under history, geography, science, technology, art. ~ Richard Rogers,
763:A ceux qui, absorbés maintenant dans le problème de "la machine à habiter", déclaraient que "l'architecture c'est servir", nous avons répondu: "L'architecture c'est émouvoir". Et nous avons été taxé de "poète", avec dédain ~ Le Corbusier,
764:Architecture was my way of expressing my ideals: to be simple, to create a world equal to everyone, to look at people with optimism, that everyone has a gift. I don’t want anything but general happiness. Why is that bad? ~ Oscar Niemeyer,
765:I am obsessed with architecture. It is true, I am restless, trying to find myself as an architect, and how best to contribute in this world filled with contradiction, disparity, and inequality, even passion and opportunity. ~ Frank Gehry,
766:When I am asked what I believe in, I say that I believe in architecture. Architecture is the mother of the arts. I like to believe that architecture connects the present with the past and the tangible with the intangible. ~ Richard Meier,
767:At about five I knew I was going to be an architect because my mother had studied architecture. I thought it was women's work. I had a proprietary feeling about architecture. I could own it because my mother owned it. ~ Denise Scott Brown,
768:At the software-architecture level, the complexity of a problem is reduced by dividing the system into subsystems. Humans have an easier time comprehending several simple pieces of information than one complicated piece. ~ Steve McConnell,
769:He had thought that apart from the obvious signifiers of architecture and weather and language, all European cities were much the same, but Paris had proved him wrong. It was quite unlike anywhere else he had ever lived. ~ Dave Hutchinson,
770:Our lives are complex; our emotions are complex; our intellectual desires are complex. I believe that architecture … needs to mirror that complexity in every single space that we have, in every intimacy that we possess. ~ Daniel Libeskind,
771:I have always been interested in the architecture of war, as can be seen in Bunker Archeology. However, at the time that I did the research for that book, I was very young. My aim was to understand the notion of 'Total War'. ~ Paul Virilio,
772:In Architecture there is a part that is the result of Logical Reasoning and a part that is created through the Senses. There is always a point where they Clash. I don't think Architecture can be created without that Collision. ~ Tadao Ando,
773:Some things become true simply by being spoken. When she said to the little girl “I’m here for you”, the architecture of her mind, her definition of herself, shifted and reconfigured around that statement. She became committed. ~ M R Carey,
774:Winston Churchill wisely said, “First we shape our buildings. Thereafter, they shape us.” Exegete the architecture of a typical church building and you’ll quickly discover that it effectively teaches the church to be passive. ~ Frank Viola,
775:Architecture and team organization is always heavily coupled together. organizations which design systems….are constrained to produce designs which are copies of the communication structures of these organizations – Conway’s law ~ Anonymous,
776:Cubism is a part of the daily life in Spain, it is in Spanish architecture. The architecture of other countries always follows the line of the landscape . . . but Spanish architecture always cuts the lines of the landscape. ~ Gertrude Stein,
777:Great works of art pass through us like storm-winds, flinging open the doors of perception, pressing upon the architecture of our beliefs with their transforming powers. ~ George Steiner, Tolstoy or Dostoevsky: An Essay in the Old Criticism,
778:It is perhaps the principal admirableness of the Gothic schools of architecture, that they receive the results of the labour of inferior minds; and out of fragments full of imperfectionraise up a stately and unaccusable whole. ~ John Ruskin,
779:Most college students would have deemed it a waste of a perfectly good Friday night, but most students were not in a program with constant stress and regular physical battles, with the possible exception of architecture majors. ~ Drew Hayes,
780:The castle itself was a huge brick pile, built in the days of William III., which, though they were grand days for the construction of the constitution, were not very grand for architecture of a more material description. ~ Anthony Trollope,
781:The Germans were much more graphical. The expressionism is much more than cinema. It was a movement with artists, painters, music and architecture, so it's really graphic and visual. And the French were something else. ~ Michel Hazanavicius,
782:The psychedelic species of visual beauty is something we don't see in our furniture styles and our architecture. It seems to be coming in, literally, from another dimension, and yet it is undeniably moving. It's beautiful. ~ Terence McKenna,
783:A good architecture will allow a system to be born as a monolith, deployed in a single file, but then to grow into a set of independently deployable units, and then all the way to independent services and/or micro-services. ~ Robert C Martin,
784:Gods wanted belief, not rational thinking. Building the temple first was like giving a pair of wonderful shoes to a man with no legs. Building a temple didn’t mean you believed in gods, it just meant you believed in architecture. ~ Anonymous,
785:One minute we were sitting at the lowly kitchen table moaning about the sorry state of our lives and the next we were liberating the architecture with heavy projectiles. This was pure, freedom. Better than sniffing glue. ~ Augusten Burroughs,
786:For it is not metres, but a metre-making argument that makes a poem, - a thought so passionate and alive that like the spirit of a plant or an animal it has an architecture of its own, and adorns nature with a new thing. ~ Ralph Waldo Emerson,
787:I have realised how exciting and easy it is to be a time traveller by looking at paintings and films and architecture and playing music or listening to it. I don't think you necessarily have to live in the present all the time. ~ Jools Holland,
788:Building becomes architecture only when the mind of man consciously takes it and tries with all his resources to make it beautiful, to put concordance, sympathy with nature, and all that into it. Then you have architecture. ~ Frank Lloyd Wright,
789:A classic is a successful book that has survived the reaction of the next period or generation. Then it's safe, like a style in architecture or furniture. It's acquired a picturesque dignity to take the place of its fashion. ~ F Scott Fitzgerald,
790:Dealing with architecture brings me very close to the state of mind required to make pictures. One also needs an old seeing eye, appropriate reflexes which embrace sensitive observations coupled with appropriate emotional responses. ~ Max Dupain,
791:One of the key practical lessons of modern neuroscience is that the power to direct our attention has within it the power to shape our brain’s firing patterns, as well as the power to shape the architecture of the brain itself. ~ Daniel J Siegel,
792:For the most part, the things that had happened in her early childhood were the ones that could be counted on to stay put. They were the permanent fixtures, firmly lodged into the architecture of the mind, screwed to the baseboards. ~ Rachel Heng,
793:We stared at the house for a while. The weird thing about houses is that they almost look like nothing is happening inside of them, even though they contain most of our lives. I wondered if that was sort of the point of architecture. ~ John Green,
794:when your field is architecture, you go visit the great cathedrals of the world. My field was relationships. I got married many times. I was practicing. I didn't date much; I just would get married. I thought, why waste time? ~ Barbara De Angelis,
795:I do not feel like an alien in this universe. The more I examine the universe and study the details of its architecture, the more evidence I find that the universe in some sense must have known that we were coming.’ Freeman Dyson24 ~ John D Barrow,
796:You cannot have good architecture merely by asking people's advice on occasion. All good architecture is the expression of national life and character; and it is produced by a prevalent and eager national taste, or desire for beauty. ~ John Ruskin,
797:A classic is a successful book that has survived the reaction of the next period or generation. Then it's safe, like a style in architecture or furniture. It's acquired a picturesque dignity to take the place of its fashion.... ~ F Scott Fitzgerald,
798:An architectural style is a coordinated set of architectural constraints that restricts the roles/features of architectural elements and the allowed relationships among those elements within any architecture that conforms to that style. ~ Anonymous,
799:He was enchanted by the architecture of the city. Merry amoretti wove garlands above windows. Roguish fauns and naked nymphs peeked down at Billy from festooned cornices. Stone monkeys frisked among scrolls and seashells and bamboo. ~ Kurt Vonnegut,
800:Chicago is known for good steaks, expensive stores and beautiful architecture. Unfortunately, the Windy City also enjoys a reputation for corrupt politics, violent crime, and some of the strictest gun control laws anywhere in the country. ~ Bob Barr,
801:Of course! We laid waste their fleets, attacked their outpost worlds.… And the Forerunners themselves found a way to bring down the indestructible architecture of the Precursors, on Charum Hakkor.… Charum Hakkor, once called the Eternal. ~ Greg Bear,
802:Paris has history, it has art, it has wonderful architecture, it has literature, but much more important than all these, it has freedom! If a city cannot offer freedom to its dwellers, all its other beauties will be meaningless! ~ Mehmet Murat ildan,
803:I love old architecture. I love collecting furniture, mixing really earthy things with the very polished. I don't come from an interiors background, so I'm not an expert. I just enjoy going to antiques shows and finding interesting things. ~ Jason Wu,
804:Plays are architecture, and you can make them stand in many ways that are hard to describe. And, I think, in our limited ability to describe them, we've substituted our inarticulateness for saying that there's one and only one structure. ~ Sarah Ruhl,
805:Some men are born to destroy, and nothing satisfies them but that. Whatever you’ve got, they want to tear it apart, from architecture and bank vaults to order and society itself, anything, just to watch it twist, shred, and die. Then ~ Stephen Hunter,
806:The art of dancing stands at the source of all the arts that express themselves first in the human person. The art of building, or architecture, is the beginning of all the arts that lie outside the person; and in the end they unite. ~ Havelock Ellis,
807:I think one of the primary goals of a feminist landscape architecture would be to work toward a public landscape in which we can roam the streets at midnight, in which every square is available for Virginia Woolf to make up her novels ~ Rebecca Solnit,
808:The difference between architecture and building is that the former expresses an idea, while the latter is merely a structure built on economical principles. The value of matter depends solely on its capacities of expressing ideas. ~ Swami Vivekananda,
809:Smoke poured from every chimney, for the day was cold. The thought of all those coal-grates and wood-stoves made me wary of fire, for these buildings were little more than tinder and brown paper, putting on airs of architecture. ~ Robert Charles Wilson,
810:Don’t go where it is all fine music and grand talk and beautiful architecture; those things will neither fill anybody’s stomach, nor feed his soul. Go where the gospel is preached, the gospel that really feeds your soul, and go often. ~ Charles Spurgeon,
811:I am very interested in architecture. I've been asked if I'd ever direct, but me, I'd rather build. It's very similar to directing, because you get to walk among this piece of art, to live in it, be surrounded by it, which is just thrilling. ~ Brad Pitt,
812:I paint mostly from real life. It has to start with that. Real people, real street scenes, behind the curtain scenes, live models, paintings, photographs, staged setups, architecture, grids, graphic design. Whatever it takes to make it work. ~ Bob Dylan,
813:I think there has only ever been one member of the Federal Parliament from either side found to have been corrupt in the whole history of Australia. I don't think we should create the architecture to solve a problem which barely exists. ~ George Brandis,
814:Just as it takes time for a speck of fish spawn to develop into a fully grown fish, so, too, we need time for everything that develops and crystallizes in the world of ideas. Architecture demands more of this time than other creative work. ~ Alvar Aalto,
815:Matt n'avait jamais vu un lieu aussi féérique, une architecture aussi complexe.
C'était un véritable château des temps anciens, tout en verticalité, cherchant son inspiration dans les nuages. Une vision digne des contes pour enfants. ~ Maxime Chattam,
816:The thing about architecture is that it's an art [you] simply learn more by doing more. It's one of those things that is really not an art about thinking, but doing. So in a way, what it has done is greatly intensify the way that I build. ~ David Adjaye,
817:We stared at the house for a while. The weird thing about houses is that they almost always look like nothing is happening inside of them, even though they contain most of our lives. I wondered if that was sort of the point of architecture. ~ John Green,
818:Architecture is not created by individuals. The genius sketch ... is a myth. Architecture is made by a team of committed people who work together, and in fact, success usually has more to do with dumb determination than with genius. ~ Joshua Prince Ramus,
819:manicured grounds of well-hidden mansions. At any other time Doug would have been slowing the car, peering through the trees, on the lookout for interesting old architecture. Because Douglas Llewellyn was an architect, the senior partner ~ Kasey Michaels,
820:When I write now I do not invent situation, characters, or actions, but rather structures and discursive forms, textual groupings which are combined according to secret affinities among themselves, as in architecture or the plastic arts. ~ Juan Goytisolo,
821:A metapattern is a pattern so wide-flung that it appears throughout the spectrum of reality: in clouds, rivers, and planets; in cells, organisms, and ecosystems; in art, architecture, and politics. ~ Tyler Volk, Metapatterns - Across Space, Time, and Mind,
822:Photography intervenes in a very strange way. It makes the streets, gates, squares of the city into illustrations of a trashy novel, draws off the banal obviousness of this ancient architecture to inject it with the most pristine intensity. ~ Louis Aragon,
823:The sense of space within the reality of any building is a new concept wherever architecture is concerned. But it is essential ancient principle just the same and is not only necessary now but implied by the ideal of democracy itself. ~ Frank Lloyd Wright,
824:For it is not metres, but a metre-making argument, that makes a poem, — a thought so passionate and alive, that, like the spirit of a plant or an animal, it has an architecture of its own, and adorns nature with a new thing. ~ Ralph Waldo Emerson, The Poet,
825:The bias among architecture critics isn't against skyscrapers per se, but against the way in which their design is so heavily dictated by economic considerations - the way in which skyscrapers are real estate before they are architecture. ~ Paul Goldberger,
826:Architecture to me is whole. I cannot say I only care about this 25% and the other 75% I let go... it's just I want to work the way I want to work. In my shop, you can order certain things and other things you cannot. They are not available. ~ Peter Zumthor,
827:I think the biggest problem with 'industrial' architecture is that it's lost its sense of humanity. Minimalist stuff drains all the humanity out of it. That idea works great for the money thing, but it doesn't work great for the feeling thing. ~ Frank Gehry,
828:Many love stories are like the shells of hermit crabs, though others are more like chambered nautiluses, whose architecture grows with the inhabitant and whose abandoned smaller chambers are lighter than water and let them float in the sea. ~ Rebecca Solnit,
829:Washington, D.C., has everything that Rome, Paris and London have in the way of great architecture - great power bases. Washington has obelisks and pyramids and underground tunnels and great art and a whole shadow world that we really don't see. ~ Dan Brown,
830:Seeing architecture differently from the way you see the rest of life is a bit weird. I believe one should be consistent in all that one does, from the books you read to the way you bring up your children. Everything you do is connected. ~ David Chipperfield,
831:A lot of my ideas come from McNally Jackson bookstore. One of my favorite things to do is just go there and look through architecture books and interior design books. Something about the aesthetics of space and beautiful images works with my brain. ~ St Lucia,
832:My dad is a carpenter, a joiner, and I used to watch him make things. So I always imagined that I'd do something where I made things, too. I was really more interested in architecture growing up because I would work with my dad on houses. ~ Christopher Bailey,
833:Science and Scripture both show that we are wired for love and optimism[5] and so when we react by thinking negatively and making negative choices, the quality of our thinking suffers, which means the quality of our brain architecture suffers. ~ Caroline Leaf,
834:The design process in engineering is not different in principle from that in architecture, or fashion, or music for that matter. It is a form of composition, of expression, and as such it is open to all the creativity we associate with these. ~ W Brian Arthur,
835:I found myself starting architecture with a deep social, Jewish, liberal conscience, and the belief that architecture is for the people. It was a do-gooder base; I was born and raised that way. I was for blacks, whites, Italians, Poles, whatever. ~ Frank Gehry,
836:Stories are compasses and architecture, we navigate by them, we build our sanctuaries and our prisons out of them, and to be without a story is to be lost in the vastness of a world that spreads in all directions like arctic tundra or sea ice. ~ Rebecca Solnit,
837:There is something about giving everything to your profession. In Italian, an obsession is not necessarily negative. Its the art of putting all your energy into one thing; its the art of transforming even what you eat for lunch into architecture. ~ Renzo Piano,
838:Certainly architecture is concerned with much more than just its physical attributes. It is a many-layered thing. Beneath and beyond the strata of function and structure, materials and texture, lie the deepest and most compulsive layers of all. ~ Charles Correa,
839:Good design is a renaissance attitude that combines technology, cognitive science, human need, and beauty to produce something that the world didn’t know it was missing.” —PAOLA ANTONELLI, curator of architecture and design, Museum of Modern Art ~ Daniel H Pink,
840:Now architecture consists of order, which in Greek is called taxis ... Order is the balanced adjustment of the details of the work separately, and, as to the whole, the arrangement of the proportion with a view to a symmetrical result. ~ Marcus Vitruvius Pollio,
841:Of course we must do it! It is a matter of spirit! And that’s not to say it could have been done earlier, the infrastructure had to be installed, that’s always messy, but now we are ready for the art of architecture, the spirit of it.” He ~ Kim Stanley Robinson,
842:Architecture is about aging well, about precision and authenticity. There is much more to the success of a building than what you can see. Im not suggesting that gestural architecture is always superficial, but solid reasoning has its place. ~ Annabelle Selldorf,
843:Beneath his fine skin the bold construction, the feudal architecture were apparent. His head made one think of those old dungeon keeps on which the disused battlements are still to be seen, although inside they have been converted into libraries. ~ Marcel Proust,
844:Literary lineage is part of your autobiography. The authors are the literary base, the image base, the character base that you bring into your civilian work. Same with film, architecture, music, sports. That's one tributary of the autobiography. ~ David Biespiel,
845:My favourite piece of architecture is the Royal Conservatory of Music on Bloor (273 Bloor Street West). When they cleaned up the old building a few years back, the stonework just knocked me out. It is a great melding of the Old Toronto and the new. ~ Andy Barrie,
846:There is a powerful need for symbolism, and that means the architecture must have something that appeals to the human heart. There is a powerful need for symbolism, and that means the architecture must have something that appeals to the human heart ~ Kenzo Tange,
847:Abe said something interesting. He said that because everyone's so poor these days, the '90s will be a decade with no architectural legacy or style- everyone's too poor to put up new buildings. He said that code is the architecture of the '90s. ~ Douglas Coupland,
848:I just love architecture, and I just love the idea of being someone who sees the world differently and doing everything you can do in order to actualize that dream. And really sticking to your guns when everyone else is telling you that you're crazy. ~ Eva Mendes,
849:Let’s face it: Despite shelves full of books on software architecture, enough UML diagrams to fill an art museum, and design meetings that seem to last longer than the pyramids, building software mostly comes down to writing one method after another. ~ Russ Olsen,
850:You have to revisit anyway The fact is that everyone has scalability issues, no one can deal with their service going from zero to a few million users without revisiting almost every aspect of their design and architecture. -Dare Obasanjo, Microsoft ~ Jason Fried,
851:It seemed to give shape to the open air, or rather to reveal the hidden architecture that was there all along - the invisible cathedral that vaulted over the surface of the pond - known only to sparrows and dragonflies but invisible to the human eye. ~ Amor Towles,
852:My interest has always been in an architecture which reflects the modernity of our epoch as opposed to the rethinking of historical references. My work deals with what is happening now—our techniques and materials, what we are capable of doing today. ~ Jean Nouvel,
853:There's no architect who doesn't want to build a library - and I am no different. With so much scrutiny now attached to reading - because of technology and how we approach it as a social activity - that is a very exciting area in architecture. ~ Annabelle Selldorf,
854:What does she need with architecture?”
“The same thing my mother needed with eight languages,” I replied boldly. “She commanded the best diplomats in the world, but she refused to leave anything to someone else that she could do better herself. ~ Michelle Moran,
855:What’s important to understand about the seven-day weekend is that by redesigning the architecture of time, we can make room for work, leisure, and idleness. All three can coexist and harmonize together to produce happiness and a sense of purpose. ~ Ricardo Semler,
856:Dresden: of all German cities, Smiley’s favourite. He had loved its architecture, its odd jumble of medieval and classical buildings, sometimes reminiscent of Oxford, its cupolas, towers, and spires, its copper-green roofs shimmering under a hot sun. ~ John le Carr,
857:Frank Lloyd Wright made houses right up until the end. I think that's important because it gives you a direct connection to all the basic aspects of architecture - the spatial energy of the place, the construction, the materials, the site, the detail. ~ Steven Holl,
858:Music, first of all, is completely about abstraction, which is exactly what architecture is not. In a way, it has been incredibly constructive to know what true abstraction is. So you dont fall into the trap of thinking that what you do is abstract. ~ Rafael Vinoly,
859:We must note carefully what distinction there is between a healthy and a diseased love of change; for as it was in healthy love of change that the Gothic architecture rose, it was partly in consequence of diseased love of change that it was destroyed. ~ John Ruskin,
860:Islam appears to me like a perfect work of architecture. All its parts are harmoniously conceived to complement and support each other; nothing is superfluous and nothing lacking; and the result is a structure of absolute balance and solid composure. ~ Muhammad Asad,
861:New Crobuzon’s architecture moves from the industrial to the residential to the opulent to the slum to the underground to the airborne to the modern to the ancient to the colourful to the drab to the fecund to the barren…You take my point. I won’t go on. ~ Anonymous,
862:The architecture or look fo a line is really important to me, and often ice can add something to the look of the wall. A dry rock wall is often not very dramatic. You add ice and snow and the features stand out in greater relief and it looks much wilder. ~ Jeff Lowe,
863:When I first got interested in comics at the time I was studying architecture and I discovered comics as a medium through listening to Art who was courting me by reading me Little Nemo and Krazy Kat by George Herriman. It was really very effective. ~ Francoise Mouly,
864:A classic,' suggested Anthony, 'is a successful book that has survived the reaction of the next period or generation. Then it's safe, like a style in architecture or furniture. It's acquired a picturesque dignity to take the place of its fashion. ~ F Scott Fitzgerald,
865:For while in the classical tradition there was no Muse of architecture, I think we can agree that under the right circumstances, the appearance of a building can impress itself upon one’s memory, affect one’s sentiments, and even change one’s life. Just ~ Amor Towles,
866:Liquid architecture. It's like jazz - you improvise, you work together, you play off each other, you make something, they make something. And I think it's a way of - for me, it's a way of trying to understand the city, and what might happen in the city. ~ Frank Gehry,
867:move from legacy architecture that is pretty pervasive today and allows them to get into the new world of elastic computing,” said Trent Fitz, Nimboxx’s chief marketing officer. “It allows them to buy this one thing and they can be using it in 10 minutes. ~ Anonymous,
868:You have to love writing a song and architecture. You have to give it a form. It is my job to create a sonic landscape. I like to create ambiance and atmosphere. The writing is the intimate part of it. It is a sketch. The production is the whole painting. ~ Keren Ann,
869:A classic," suggested Anthony, "is a successful book that has survived the reaction of the next period or generation. Then it's safe, like a style in architecture or furniture. It's acquired a picturesque dignity to take the place of its fashion…. ~ F Scott Fitzgerald,
870:Architecture is the constant fight between man and nature, the fight to overwhelm nature, to possess it. The first act of architecture is to put a stone on the ground. That act transforms a condition of nature into a condition of culture; it's a holy act. ~ Mario Botta,
871:If a project has not achieved a system architecture, including its rationale, the project should not proceed to full-scale system development. Specifying the architecture as a deliverable enables its use throughout the development and maintenance process. ~ Barry Boehm,
872:In a script, you have to link various episodes together, you have to generate suspense and you have to assemble things - through editing, for example. It's exactly the same in architecture. Architects also put together spatial episodes to make sequences. ~ Rem Koolhaas,
873:Most scripts are written to be green lit. They're not written to be acted. And a lot of writers with the greatest intention in the world don't write for actors. They don't understand the architecture of what an actor needs to get from point A to point B. ~ Laura Linney,
874:Perhaps there never was a monument more characteristic of an age and people than the Alhambra; a rugged fortress without, a voluptuous palace within; war frowning from its battlements; poetry breathing throughout the fairy architecture of its halls. ~ Washington Irving,
875:There's a snobbery at work in architecture. The subject is too often treated as a fine art, delicately wrapped in mumbo-jumbo. In reality, it's an all-embracing discipline taking in science, art, maths, engineering, climate, nature, politics, economics. ~ Norman Foster,
876:When I was studying interior architecture, and playing around with glass because I really liked glass. There was one night when I blew a bubble and put a pipe into this glass I had melted and blew a bubble. From that moment, I wanted to be a glassblower. ~ Dale Chihuly,
877:1 an oblong frame with rows of wires or grooves along which beads are slid, used for calculating. 2 [ARCHITECTURE] the flat slab on top of a capital, supporting the architrave.  late Middle English (denoting a board strewn with sand on which to ~ Oxford University Press,
878:An unresolved territorial conflict will impede Ukraine’s westward march while at the same time it will be a violent reminder of Europe’s inability to deal with military threats, the Achilles’s heel of the post-modern political architecture built in Brussels. ~ Anonymous,
879:Here, then, is what I wanted to tell you of my architecture. I created it with courage and idealism, but also with an awareness of the fact that what is important is life, friends and attempting to make this unjust world a better place in which to live. ~ Oscar Niemeyer,
880:White folks was in the caves while we [blacks] was building empires ... We built pyramids before Donald Trump ever knew what architecture was ... we taught philosophy and astrology and mathematics before Socrates and them Greek homos ever got around to it. ~ Al Sharpton,
881:If the king is in the palace, nobody looks at the walls. It is when he is gone, and the house is filled with grooms and gazers, that we turn from the people, to find relief in the majestic men that are suggested by the pictures and the architecture. ~ Ralph Waldo Emerson,
882:Taking architecture seriously therefore makes some singular and strenuous demands upon us...It means conceding that we are inconveniently vulnerable to the colour of our wallpaper and that our sense of purpose may be derailed by an unfortunate bedspread ~ Alain de Botton,
883:The events of human life, whether public or private, are so intimately linked to architecture that most observers can reconstruct nations or individuals in all the truth of their habits from the remains of their monuments or from their domestic relics. ~ Honore de Balzac,
884:The goal of architectural design is to create an architecture with a set of architectural properties that form a superset of the system requirements. The relative importance of the various architectural properties depends on the nature of the intended system. ~ Anonymous,
885:Contemporary architects tend to impose modernity on something. There is a certain concern for history but it’s not very deep. I understand that time has changed, we have evolved. But I don’t want to forget the beginning. A lasting architecture has to have roots. ~ I M Pei,
886:If a dictator takes up my ideas, the resulting town will survive the political system that commissioned it and stand as a social good. Besides, modernism rather than classicism has dominated the architecture of totalitarian regimes of both the left and right. ~ Leon Krier,
887:It is out there, outside the confines of our comforts and the pleasures of our accumulations, beyond our architecture of the routine, that we slip the bonds of our limiting beliefs, soar magnificently above our own shortcomings, and express our highest selves. ~ Anonymous,
888:It might be David Adjaye talking about how the structure of jazz music informs his architecture, it might be the musician Terry Riley talking about how he thinks so much about cinema. I'd love to see more of a rupture between mediums and a flow between them. ~ Doug Aitken,
889:Moller, in his Essay on Architecture, taught that the building which was fitted accurately to answer its end would turn out to be beautiful, though beauty had not been intended. I find the like unity in human structures rather virulent and pervasive. ~ Ralph Waldo Emerson,
890:Recognizing the structure of your psychology doesn't mean you can easily rebuild it. The Chamber of Unreasonable Guilt is part of my mental architecture, and I doubt that I will ever be able to renovate that particular room in this strange castle that is me. ~ Dean Koontz,
891:what we call a home is merely any place that succeeds in making more consistenly available to us the important truths which the wider world ignores, or which our distracted and irresolute selves have trouble holding onto." (p123) Architecture of Happiness ~ Alain de Botton,
892:The hiring of Phil Messina, the production designer, was a big decision. He's so gifted, and his ideas were always so smart and rooted in American history and architecture. Nothing feels like it's not us, or couldn't be us, and I think that's very important. ~ Nina Jacobson,
893:A classic," suggested Anthony, "is a successful book that has survived the reaction of the next period or generation. Then it's safe, like a style in architecture or furniture. It's acquired a picturesque dignity to take the place of its fashion…." After ~ F Scott Fitzgerald,
894:I would never go to a place and live there because the weather was good or the scenery was beautiful or the architecture was wonderful. I would only go because the people are kind, and in America, everybody's your friend and happiness rains down from the sky. ~ Quentin Crisp,
895:Just growing up in Columbus, which is such a special place, small town with a Fortune 500 company's headquarters, the extraordinary modern architecture. The experiences that I've had growing up in that very unique hometown has shaped me and always will shape me. ~ Mike Pence,
896:Schinkel was not arbitrary in his use of historical modes but rather eclectic in the best sense of the word. He could search the past for its conspicuous successes using them both freely and discursively as the basis for a contemporary architecture. ~ Karl Friedrich Schinkel,
897:The Empire was not known for its roomy architecture. It was fond of austere pragmatism (that term, austere pragmatism, or sometimes pragmatic austerity, found its way atop many Imperial brochures and propaganda tracts), and so kept its hallways low and narrow. ~ Chuck Wendig,
898:The practice of architecture is the most delightful of all pursuits. Also, next to agriculture, it is the most necessary to man. One must eat, one must have shelter. Next to religious worship itself, it is the spiritual handmaiden of our deepest convictions. ~ Philip Johnson,
899:The American dream has always depended on the dialogue between the present and the past. In our architecture, as in all our other arts-indeed, as in our political and social culture as a whole-ours has been a struggle to formulate and sustain a usable past. ~ Robert A M Stern,
900:The effect was that of a Cyclopean city of no architecture known to man or to human imagination, with vast aggregations of night-black masonry embodying monstrous perversions of geometrical laws and attaining the most grotesque extremes of sinister bizarrerie. ~ H P Lovecraft,
901:The World's Fair was the precursor to theme parks like Disneyworld, and the really sort of cheap, superficial promotional architecture that you see everywhere in the US. I think there's a danger when you start creating a civilisation that isn't meant to last. ~ Sufjan Stevens,
902:To work in architecture you are so much involved with society, with politics, with bureaucrats. It's a very complicated process to do large projects. You start to see the society, how it functions, how it works. Then you have a lot of criticism about how it works. ~ Ai Weiwei,
903:I learned in architecture that you have to have a real plan. You have to have a client, they have to have distribution, start-up money, and have a vision of where it's going to go. All this has to be settled before you start, or else your work is just a story. ~ Michael Graves,
904:Society understands the architecture of academia and knows there are relevant qualifications in different fields, and the media accepts the idea of specialisations and accords greater respect to those with greater expertise. With one exception: climate science. ~ Jay Griffiths,
905:So long as we see the stones and joints, and are not deceived as to the points of support in any piece of architecture, we may rather praise than regret the dexterous artifices which compel us to feel as if there were fibre in its shafts and life in its branches. ~ John Ruskin,
906:Architecture, like dress, is an exercise in good manners, and good manners involve the habit of skillful insincerity - the habit of saying "good morning" to those whose mornings you would rather blight, and of passing the butter to those you would rather starve. ~ Roger Scruton,
907:The problem of the house is a problem of the epoch. The equilibrium of society today depends upon it. Architecture has for its first duty, in this period of renewal, that of bringing about a revision of values, a revision of the constituent elements of the house. ~ Le Corbusier,
908:Accuracy is the basis of style. Words dress our thoughts and should fit; and should fit not only in their utterances, but in their implications, their sequences, and their silences, just as in architecture the empty spaces are as important as those that are filled. ~ Freya Stark,
909:If architecture is going to nudge, cajole, and inspire a community to challenge the status quo into making responsible changes, it will take the subversive leadership of academics and practitioners who keep reminding students of the profession’s responsibilities. ~ Samuel Mockbee,
910:When I'm with him, there is someone with me in my house of grief, someone who knows its architecture as I do, who can walk with me, from room to sorrowful room, making the whole rambling structure of wind and emptiness not quite as scary, as lonely as it was before. ~ Jandy Nelson,
911:The effect was that of a Cyclopean city of no architecture known to man or to human imagination, with vast aggregations of night-black masonry embodying monstrous perversions of geometrical laws and attaining the most grotesque extremes of sinister bizarrerie. There ~ H P Lovecraft,
912:The majestic architecture, the cultivated manners, the simplicity of life, the disregard for one's fellow man, the plagues, the rampant corruption, the unmitigated racism, and the uncontrollable violence--all the things our grandparents called 'the good old days'... ~ Chris Elliott,
913:When I think of a place of worship, I think of a place where one can sit and be reminded of all the things that are important outside our individual lives. To express spirituality, the architect has to think of the original material of architecture, space and light. ~ Richard Meier,
914:Good software systems begin with clean code. On the one hand, if the bricks aren’t well made, the architecture of the building doesn’t matter much. On the other hand, you can make a substantial mess with well-made bricks. This is where the SOLID principles come in. ~ Robert C Martin,
915:As with any art, you create it [drumming] out of something that isn't there. It's very architectural. It's the architecture of whatever piece of music I'm playing. I think the whole idea of drumming is to allow other people around you to more easily express themselves. ~ Max Weinberg,
916:I take my camera pretty much everywhere and try to get the most diverse photos possible, since I get to travel to all the greatest cities in the country and see iconic architecture and things like that. I also like racing photos - like motorcycles, cars, stuff like that. ~ C J Wilson,
917:Whilst acting is my career, architecture is my passion. Selecting this development as my first major construction project has been a simple decision. It will underpin not only my values for environmentally friendly architecture, but also embrace my career in entertainment ~ Brad Pitt,
918:Architecture is involved with the world, but at the same time it has a certain autonomy. This autonomy cannot be explained in terms of traditional logic because the most interesting parts of the work are non-verbal. They operate within the terms of the work, like any art. ~ Thom Mayne,
919:I went to art school in Chicago for a year at Columbia College. I had this whole master plan of getting into sustainable development and green architecture and construction, so I wanted to go to business school and then get my masters in construction and development. ~ Nico Tortorella,
920:The architects who benefit us most maybe those generous enough to lay aside their claims to genius in order to devote themselves to assembling graceful but predominantly unoriginal boxes. Architecture should have the confidence and the kindness to be a little boring. ~ Alain de Botton,
921:The new architecture and urban design of segregation could be called Calvinist: they reflect a desire to live in a world of predestination rather than chance, to strip the world of its wide-open possibilities and replace them with freedom of choice in the marketplace. ~ Rebecca Solnit,
922:For America today organic architecture interprets (will eventually build) this local embodiment of human freedom. This natural architecture seeks spaciousness, grace and openness; lightness and strength so completely balanced and logical that it is a new integrity. ~ Frank Lloyd Wright,
923:If I was influenced by anything, it was architecture: structure having to do with logic. If you don't do it right, the whole thing is going to cave in. In a certain sense, you can carry that to graphic design. Fortunately, however, nobody is going to die if you do it wrong. ~ Paul Rand,
924:I never presume to give advice on writing. I think the best way to learn to write is to read books
and stories by bood writers. It's a hard thing to preach about. As Thelonious Monk once said about
his field, "Talking about music is like dancing about architecture. ~ Maureen Dowd,
925:The science of design, or of line-drawing, if you like to use this term, is the source and very essence of painting, sculpture, architecture... Sometimes... it seems to me that... all the works of the human brain and hand are either design itself or a branch of that art. ~ Michelangelo,
926:If the embodiment of the fundamental idea of our age were to be found in Victorian architecture, in the Church of Cristo Re in Rome or the Church in Brasilia, in Moscow University or the Capitol in Washington, then our age would undoubtedly be called the 'age of kitsch.' ~ Hermann Broch,
927:It would be great if we had our own personal force fields. Just imagine creating your own architecture in your room. Buildings. You wouldn't have to spend all that time saving your money for that second house. You'd simply push a button and have as many houses as you want. ~ Michio Kaku,
928:Architecture might be more sportive and varied if every man built his own house, but it would not be the art and science that we have made it; and while every woman prepares food for her own family, cooking can never rise beyond the level of the amateur's work. ~ Charlotte Perkins Gilman,
929:As the architecture of a country always follows the earliest structures, American architecture should be a refinement of the log-house. The Egyptian is so of the cavern and the mound; the Chinese, of the tent; the Gothic, of overarching trees; the Greek, of a cabin. ~ Nathaniel Hawthorne,
930:If there's going to be another movement, another direction in architecture, it has to engage people differently. Other than saying, here, look at this, isn't this amazing? It has to interactively involve them other than as spectators ... it has to engage them as creators. ~ Lebbeus Woods,
931:I love the fact that I work with everything that has to do with the brand, the product, the environment, the online, the architecture, the web design, because I am somebody that loves making things, making experiences, creating things that people love to engage with. ~ Christopher Bailey,
932:I see her body as an arousing work of architecture. A sky-scraping building that I wouldn’t mind laying over a mountain to inject my whale-sized shank through its front entrance, knocking the doorman out of the way and flooding the lobby once I am finished with her. ~ Carlton Mellick III,
933:The whole architecture of the notions of psychology... as differentiations of one sole and massive adhesion to being which is the flesh ...There is no hierarchy of orders of layers or planes, there is dimensionality of every fact & facticity of every dimension. ~ Maurice Merleau Ponty,
934:Architecture exhibits the greatest extent of the difference from nature which may exist in works of art. It involves all the powers of design, and is sculpture and painting inclusively. It shows the greatness of man, and should at the same time teach him humility. ~ Samuel Taylor Coleridge,
935:For those of us fascinated with the spiritual quest, the deepening of our journeys begins the moment we begin to ask what is sacred to us: architecture, history, music, books, nature, food, religious heritage, family history, the lives of saints, scholars, heroes, artists? ~ Phil Cousineau,
936:In the technology value stream, we optimize for downstream work centers by designing for operations, where operational non-functional requirements (e.g., architecture, performance, stability, testability, configurability, and security) are prioritized as highly as user features. ~ Gene Kim,
937:It is impossible, as impossible as to raise the dead, to restore anything that has ever been great or beautiful in architecture. That which I have insisted upon as the life of the whole, that spirit which is given only by the hand and eye of the workman, can never be recalled. ~ John Ruskin,
938:It's always helpful to look outside of the web for your inspiration, to places where you might not at first expect to find a solution. The world is a collage of inspiration, from newspapers, magazine publishing, and advertising to product design, architecture and the fine arts. ~ Andy Clark,
939:Who wouldn’t be charmed by Frank Lloyd Wright? Edwin was. I was. There we were in the light-filled octagonal room attached to their house, with the enfant terrible of Oak Park architecture, the “Tyrant of Taste,” someone at the club had called him, and he was listening to us.  ~ Nancy Horan,
940:I think people care. If not, why do so many people spend money going on vacations to see architecture? They go to the Parthenon, to Chartres, to the Sydney Opera House. They go to Bilbao... Something compels them, and yet we live surrounded by everything but great architecture. ~ Frank Gehry,
941:Hell is reimagined by each generation. Its terrain is surveyed for absurdities and remade in a fresher mold; its terrors are scrutinized and, if necessary, reinvented to suit the current climate of atrocity; its architecture is redesigned to appall the eye of the modern damned. ~ Clive Barker,
942:The Greeks had the greatest architectonic gifts. Every art has its climax at some point, and here architecture had its high point. Modeling and painting reached their climax elsewhere. Despite the gigantic pyramids, the most wonderful architecture appears in the Greek temple. ~ Rudolf Steiner,
943:The neurochemistry of the brain is astonishingly busy, the circuitry of a machine more wonderful than any devised by humans. But there is no evidence that its functioning is due to anything more than the 10(14) neural connections that build an elegant architecture of consciousness. ~ Carl Sagan,
944:I've gradually fooled myself into becoming a real painter... I really just like to sit in my air-conditioned Rome painting studio surrounded by Medieval and Renaissance architecture and to hold a tube of Alizarin Madder Lake in my artist's hand and marvel at the shiny goop inside. ~ Mark Kostabi,
945:Management has to provide the coordinating mechanism between what the supplier provides and what the user needs in not-good-enough situations where product architecture is consequently interdependent. Management always beats markets when there is not sufficient information. ~ Clayton Christensen,
946:Melrose is the finest remaining specimen of Gothic architecture in Scotland. Some of the sculptured flowers in the cloister arches are remarkably beautiful and delicate, and the two windows - the south and east oriels - are of a lightness and grace of execution really surprising. ~ Bayard Taylor,
947:The most important thing, in order to forge one's own creative personality, is to travel, to see different environments, different cultures. I wanted to try to imagine a new kind of structure in architecture. In general, architects follow fashions. They're neoclassical, postmodern. ~ Shigeru Ban,
948:All but universally, human architecture values front elevations over back entrances, public spaces over private. Danny Jessup says that this aspect of architecture is also a reflection of human nature, that most people care more about their appearance than they do about their souls. ~ Dean Koontz,
949:I have always accepted and respected all other schools of architecture, from the chill and elemental structures of Mies van der Rohe to the imagination and delirium of Gaudi. I must design what pleases me in a way that is naturally linked to my roots and the country of my origin. ~ Oscar Niemeyer,
950:As for myself, I'm attracted to venture into places with peeling paint, and areas that are crumbling a little bit. It makes sense because we've already established the photography focusing on architecture, even if it looks incorrect, there's something appealing about entering that. ~ Teresa Palmer,
951:Human intelligence discovered a way of perpetuating itself, one not only more durable and more resistant than architecture, but also simpler and easier. Architecture was dethroned. The stone letters of Orpheus gave way to the lead letters of Gutenburg. The book will kill the edifice. ~ Victor Hugo,
952:Sans doute te demandes-tu si je ne suis pas aigri de n'en avoir écrit aucun. Eh bien, non! Mon talent a été de les bien lire et de les réunir. Notre monde manque plus de grands lecteurs que de grands écrivains, et composer une bibliothèque est un art qui tient de l'architecture. ~ Alexandre Jardin,
953:The second is that some things become true simply by being spoken. When she said to the little girl “I’m here for you”, the architecture of her mind, her definition of herself, shifted and reconfigured around that statement. She became committed, or maybe just acknowledged a commitment. ~ M R Carey,
954:Among many, many others, the following things were definitely not interesting: the pupillary sphincter, mitosis, baroque architecture, jokes that have physics equations as punch lines, the British monarchy, Russian grammar, and the significant role that salt has played in human history. ~ John Green,
955:Architecture has to be greater than just architecture. It has to address social values, as well as technical and aesthetic values. On top of that, the one true gift that an architect has is his or her imagination. We take something ordinary and elevate it to something extraordinary. ~ Samuel Mockbee,
956:He wanted to be deafened by the thunder of her engines, he needed to be drained of every thought by the cold, the noise, the equal amounts of boredom and adrenalin. He had believed once that he would be formed by the architecture of war, but now he realized, he had been erased by it. ~ Kate Atkinson,
957:I think, you know, architecture should not just be something that follows up on events but be a leader of events ... by implementing an architectural action, you actually are making a transformation in the social fabric and in the political fabric. Architecture becomes an instigator. ~ Lebbeus Woods,
958:Architecture cannot, however, become an instrument of mere functionality, bodily comfort and sensory pleasure without loosing its existentially meditating task. A distinct sense of distance, resistance and tension has to be maintained in relation to programme, function and comfort. ~ Juhani Pallasmaa,
959:In all matters but particularly in architecture, that which is signified is the subject of which we may be speaking and that which gives significance is a demonstration on scientific principles. One who professes himself an architect should be well versed in both directions. ~ Marcus Vitruvius Pollio,
960:We are all affected by Le Corbusier, Frank Lloyd Wright, Alvar Aalto, and Mies van der Rohe. But no less than Bramante, Borromini, and Bernini. Architecture is a tradition, a long continuum. Whether we break with tradition or enhance it, we are still connected to that past. We evolve. ~ Richard Meier,
961:Which backends of this server are considered “in the critical path,” and why? What aspects of this server could be simplified or automated? Where do you think the first bottleneck is in this architecture? If that bottleneck were to be saturated, what steps could you take to alleviate it? ~ Betsy Beyer,
962:I really like the city of Vienna. I like its art, its music and its architecture. In short, I like the culture that Vienna represents. What really captures me is the period around 1900 - the time of Freud, Schnitzler and Klimt. This is the period in which the modern view of mind was born. ~ Eric Kandel,
963:Loving photography and wanting to be a painter, it all ended up in the process of filmmaking. It's strange professionally be to connected because it connects you to architecture, it connects you to painting, it connects you to writers, to actors. It connects you to really all of the arts. ~ Wim Wenders,
964:There is no ecological architecture, no intelligent architecture and no sustainable architecture - there is only good architecture. There are always problems we must not neglect. For example, energy, resources, costs, social aspects - one must always pay attention to all these. ~ Eduardo Souto de Moura,
965:This is natural selection, plain as day: the islanders have a simple rule: if it returns from the sea intact, copy it! They may have considerable comprehension of the principles of naval architecture that retrospectively endorse their favorite designs, but it is strictly unnecessary. ~ Daniel C Dennett,
966:When I was young, I wanted to be a movie star. But I realized that you have no control being an actor. So I went to architecture school in NYC, because I was crazy about buildings. Then I began to realize that I got more excited about Vogue coming out each month than I was about my projects. ~ Tom Ford,
967:Drill and uniforms impose an architecture on the crowd. An army's beautiful. But that's not all; it panders to lower instincts than the aesthetic. The spectacle of human beings reduced to automatism satisfies the lust for power. Looking at mechanized slaves, one fancies oneself a master. ~ Aldous Huxley,
968:For architecture, among all the arts, is the one that most boldly tries to reproduce in its rhythm the order of the universe, which the ancients called "kosmos," that is to say ornate, since it is like a great animal on whom there shine the perfection and the proportion of all its members. ~ Umberto Eco,
969:My university degree is in art and, yes, I do a lot of drawing for all my books. I have a big drafting table set up in a spare bedroom and I cover it with maps and house plans and sketches that I use in the books. Also, I truly love architecture, so that plays a big part in all my books. ~ Jude Deveraux,
970:I tend to believe in the traditional architecture of life and the afterlife. This world is a journey of discovery and purification. The next world consists of two destinations: One is a palace for the spirit and an endless kingdom of wonder, while the other is cold and dark and unthinkable. ~ Dean Koontz,
971:Light inspires me. I'm drawn to architecture, often graves, statues, trees - things usually that are quite still. I've been taking pictures continuously since 1995 until the end of Polaroid film. I'm taking very few pictures nowadays because I have very little film left, most of it expired. ~ Patti Smith,
972:Architecture has its political Use; publick Buildings being the Ornament of a Country; it establishes a Nation, draws People and Commerce; makes the People love their native Country, which Passion is the Original of all great Actions in a Common-wealth.... Architecture aims at Eternity. ~ Christopher Wren,
973:As useful as services are to the scalability and develop-ability of a system, they are not, in and of themselves, architecturally significant elements. The architecture of a system is defined by the boundaries drawn within that system, and by the dependencies that cross those boundaries. ~ Robert C Martin,
974:I believe that dance was the first art. A philosopher has said that dance and architecture were the first arts. I believe that dance was first because it's gesture, it's communication. That doesn't mean it's telling a story, but it means it's communicating a feeling, a sensation to people. ~ Martha Graham,
975:I have for myself no conceivable complaint to make, and yet for American literature in general, and its standing in a country where industrialism and finance and science flourish and the only arts that are vital and respected are architecture and the film, I have a considerable complaint. ~ Sinclair Lewis,
976:The first thing he noticed was that Las Vegas seemed to have invented a new school of functional architecture, 'The Gilded Mousetrap School' he thought it might be called, whose main purpose was to channel the customer-mouse into the central gambling trap whether he wanted the cheese or not. ~ Ian Fleming,
977:The Greeks excluded women from the ancient Olympics, he argued, but spectators have “long suffered from watching female footracers and hardware heavers burlesque a noble sport. They just haven’t the correct architecture for it. So why run counter to the obvious wishes of Mother Nature?”123 ~ Jules Boykoff,
978:Every practical science is concerned with human operations; as moral science is concerned with human acts, and architecture with buildings. But sacred doctrine is chiefly concerned with God, whose handiwork is especially man. Therefore it is not a practical but a speculative science. ~ Saint Thomas Aquinas,
979:So I was drawing in a lot of the habit district in Brazil, put that together with an Asian influence, so there are a lot of different things in terms of architecture which assisted in the construction. Then every sci-fi movie I've grown up with from 'Blade Runner' to 'Aliens' and 'Star Wars.'" ~ Len Wiseman,
980:We (the indivisible divinity that works in us) have dreamed the world. We have dreamed it resistant, mysterious, visible, ubiquitous in space and firm in time, but we have allowed slight, and eternal, bits of the irrational to form part of its architecture so as to know that it is false. ~ Jorge Luis Borges,
981:[...] you shouldn't be uneasy about any parts of the architecture. It shouldn't
contain anything just to please the boss. It shouldn't contain anything that's hard for you
to understand. You're the one who'll implement it; if it doesn't make sense to you, how
can you implement it? ~ Steve McConnell,
982:I fell in love with it. Walking around just feels so cinematic. I find the aris- tocratic parts of London so unattractive and angular; the architecture is so white and gated. But in New York, it’s different—even uptown it’s really grand, and there’s no real segregation there. It’s all mixed up. ~ Imogen Poots,
983:Oh, and a huge Federal Building that looked like it was being molested by a giant steel pterodactyl, but evidently that was just the government trying to get away from their standard bomb shelter architecture to something more aesthetically appealing, especially if you liked Godzilla porn. ~ Christopher Moore,
984:The basic combination of these three things: (1) that the world around us tries to tempt us; (2) that we listen to the world around us (e.g., choice architecture); and (3) that we don’t deal very well with temptation… if you put all of those things together, you have a recipe for disaster. So ~ Jocelyn K Glei,
985:11. Secularisation: the second wave. Modernism in architecture went hand in hand with socialist and fascist projects to rid old Europe of its hierarchical past, to re-shape it as a godless but orderly society, which would live in honest recognition that it is man, not God, who is the final purpose. ~ Anonymous,
986:The manner in which the Americans are subdivided into sects also conflicts with any commendable desire that may exist to build glorious temples in honor of the Deity: and convenience is more consulted than taste, perhaps, in all that relates to ecclesiastical architecture. Nevertheless, ~ James Fenimore Cooper,
987:When I'm with him,
there is someone with me
in my house of grief,
someone who knows
its architecture as I do,
who can walk with me,
from room to sorrowful room,
making the whole rambling structure
of wind and emptiness
not quite as scary, as lonely
as it was before. ~ Jandy Nelson,
988:Eiffel saw his Tower in the form of a serious object, rational, useful; men return it to him in the form of a great baroque dream which quite naturally touches on the borders of the irrational ... architecture is always dream and function, expression of a utopia and instrument of a convenience. ~ Roland Barthes,
989:It follows that the balance we approve of in architecture, and which we anoint with the word 'beautiful', alludes to a state that, on a psychological level, we can describe as mental health or happiness. Like buildings, we, too, contain opposites which can be more or less successfully handled. ~ Alain de Botton,
990:One of my weekend hobbies is to go look at old houses when there are open houses around here. Just to go look at the architecture. And you can see how many houses were built around 1977, the year where everyone said, "Let's put in these aluminum windows instead of beautiful hand-made wood ones." ~ Daniel Clowes,
991:A hooded figure floated down the last few stairs. Stunned, she blinked. But when she looked again, the stairs were empty. She had probably only imagined the dark apparition. With a shiver, she decided that was the last time she would read gothic fiction. It was back to architecture books for her. ~ Julie Klassen,
992:Finch refused to discuss these ideas and didn't brook criticism from his colleagues, much less from a mere photographer. What must it be like, Guilford wondered, to have such a baroque architecture crammed inside one's skull? Such a strange cathedral, so well buttressed, so well defended? ~ Robert Charles Wilson,
993:The secret to the movie business, or any business, is to get a good education in a subject besides film - whether it's history, psychology, economics, or architecture - so you have something to make a movie about. All the skill in the world isn't going to help you unless you have something to say. ~ George Lucas,
994:We've been fighting from the beginning for organic architecture. That is, architecture where the whole is to the part as the part is to the whole, and where the nature of materials, the nature of the purpose, the nature of the entire performance becomes a necessity-architecture of democracy. ~ Frank Lloyd Wright,
995:Chicago is what a polite person would call a colorful place. It’s a den of crime and corruption. And it’s a monument to architecture and enterprise. It’s violent and dangerous, and an epicenter of music and the arts. The good, the bad, the ugly, the sublime, monsters and angels—they’re all here. The ~ Jim Butcher,
996:The earlier, more analytic impulse was to use very rational but kind of absurd techniques or tendencies—mapping, charting, and architecture—to try and make sense of who I was in my time and space and political environment. But there’s only so much truth to a theoretical understanding of something. ~ Julie Mehretu,
997:To practice space is thus to repeat the joyful and silent experience of childhood; it is, in a place, to be other and to move toward the other...Kandinsky dreamed of: 'a great city built according to all the rules of architecture and then suddenly shaken by a force that defies all calculation. ~ Michel de Certeau,
998:Think of the organizational design as the communications architecture for your company. If you want people to communicate, the best way to accomplish that is to make them report to the same manager. By contrast, the further away people are in the organizational chart, the less they will communicate. ~ Ben Horowitz,
999:When Moses was alive, these pyramids were a thousand years old. Here began the history of architecture. Here people learned to measure time by a calendar, to plot the stars by astronomy and chart the earth by geometry. And here they developed that most awesome of all ideas - the idea of eternity. ~ Walter Cronkite,
1000:You need a prince to make a town in an intellectual sense. Developers want to make money. If they cared about architecture, they'd become architects. I've had so many projects that never came off because they had no sponsor, and not because they were utopian. I just want to build a town that's normal. ~ Leon Krier,
1001:Now Art, used collectively for painting, sculpture, architecture and music, is the mediatress between, and reconciler of, nature and man. It is, therefore, the power of humanizing nature, of infusing the thoughts and passions of man into everything which is the object of his contemplation. ~ Samuel Taylor Coleridge,
1002:Qafzeh’s algorithms—if implemented properly on a particular architecture of quantum computer—led to a net heat loss from the local universe. A cryo-arithmetic engine was in essence just a computer, running computational cycles. Unlike ordinary computers, however, it got colder the faster it ran. ~ Alastair Reynolds,
1003:The dynamic ideal we call democracy, gradually growing up in the human heart for two-thousand five hundred years, at least, has now every opportunity to found the natural democratic state in these United States of America by way of natural economic order and a natural, or organic, architecture. ~ Frank Lloyd Wright,
1004:I see the Beijing National Stadium as an architectural project. I accepted Herzog and De Meuron's invitation to collaborate on the design, and our proposal won the competition. From beginning to end, I stayed with the project. I am committed to fostering relationships between a city and its architecture. ~ Ai Weiwei,
1005:Quebec City is the most European of any city in North America, they speak French all the time. There is a part of town called Old Quebec which is really like being in France. The architecture is just gorgeous, food, shopping. I'd say Quebec city is the most beautiful city in North America I've seen. ~ Sebastian Bach,
1006:The many great gardens of the world, of literature and poetry, of painting and music, of religion and architecture, all make the point as clear as possible: The soul cannot thrive in the absence of a garden. If you don't want paradise, you are not human; and if you are not human, you don't have a soul. ~ Thomas More,
1007:Really it becomes a question of architecture. How do you move people through a space and allow them to have an experience? I, probably more than most people, suffer from museum fatigue. I always want to just stay still or sit in a chair and look at one thing, but that's not the experience of the museum. ~ David Salle,
1008:The many great gardens of the world, of literature and poetry, of painting and music, of religion and architecture, all make the point as clear as possible: The soul cannot thrive in the absence of a garden. If you don't want paradise, you are not human; and if you are not human, you don't have a soul. ~ Thomas Moore,
1009:The many great gardens of the world, of literature and poetry, of painting and music, of religion and architecture, all make the point as clear as possible: The soul cannot thrive in the absence of a garden. If you don’t want paradise, you are not human; and if you are not human, you don’t have a soul. ~ Thomas Moore,
1010:Architecture is inherently a totalitarian activity. One thing we hate about it is that when you design a space, you're probably designing people's behavior in that space. I don't know if we know how to change that, but our goal is to make spaces for people rather than people being subservient to spaces. ~ Vito Acconci,
1011:Manhattanism is the one urbanistic ideology that has fed, from its conception, on the splendors and miseries of the metropolitan condition—hyper-density—without once losing faith in it as the basis for a desirable modern culture. Manhattan's architecture is a paradigm for the exploitation of congestion. ~ Rem Koolhaas,
1012:One my favorite things is to go to the provinces of Russia and see the 18th century wood churches with the onion dome architecture. These humble wonders of incredible imagination of architects that were obviously not living in places like Paris or London, but they've created these amazing churches. ~ Andre Leon Talley,
1013:The common thread for everything I do is this idea of a Web-services architecture. What does that mean? It means taking components of software and systems and having them be self-describing, so that you can aim them, ask them what their capabilities are, and communicate with them using a standard protocol. ~ Bill Gates,
1014:You look at the steamboat, the railroad, the car, the airplane - not all of these were invented in the Anglo-American world, but they were popularized and extended by it. They were made possible by the financial architecture, the capital intensive operations invented and developed by the Anglo-Americans. ~ Walter Russell,
1015:If you look at the Earth without architecture, its sometimes a little bit unpleasant. So there is this basic human need to do shelter in the broadest sense of the word, whether its a movie theater or a simple log cabin in the mountains. This is the core of architecture: To provide a space for human beings. ~ Peter Zumthor,
1016:I viewed the station as a place, a terrain where I could put a new architecture in place. The station was, of course, an historic monument, but it does not deserve all the respect given it when it is said it is perfect, original and coherent expression of a past that we must revere. Orsay is basically a box. ~ Gae Aulenti,
1017:Poetry, architecture, music, philosophy and mathematics all intrigued him and he was patron of them all, surrounding himself with men of genius: the poet and satirist Juvenal, the architect Apollodorus, the historians Tacitus, Suetonius and Arrian, the writers Pliny the Younger, Pausanias and Plutarch. ~ Elizabeth Speller,
1018:The quality of American life is an insult to the possibilities of human growth... the pollution of American space, with gadgetry and cars and TV and box architecture, brutalizes the senses, making gray neurotics of most of us, and perverse spiritual athletes and strident self-transcenders of the best of us. ~ Susan Sontag,
1019:What I have read of war suggests that the most devastating mistakes are often made either in war’s inception, when the front lines take their shapes, or after the surrender. In the latter case, exaltation and vendetta often have clouded victors’ judgments as they laid the architecture of their postwar worlds. ~ Ada Palmer,
1020:Cinema is a kind of pan-art. It can use, incorporate, engulf virtually any other art: the novel, poetry, theater, painting, sculpture, dance, music, architecture. Unlike opera, which is a (virtually) frozen art form, the cinema is and has been a fruitfully conservative medium of ideas and styles of emotions. ~ Susan Sontag,
1021:Now, of course, architecture is a blind spot of our life in America today. How many millions of students go to the university to be educated? They come away conditioned, not enlightened, and they know nothing of architecture, although they have a department somewhere around -- probably in the basement. ~ Frank Lloyd Wright,
1022:There is, I think, a way in which my ideas can live forever. All men seek immortality in their own way, either through a legacy of children carrying their name and genetic material, through architecture, through science, and this now is simply my search for a legacy like no other." - Asylum, Madeleine Roux ~ Madeleine Roux,
1023:Get to the Point: Vampire Contributions in Western Architecture. Fangs and Balances: Vampire Politicians in History. To Drink or Not to Drink: A Vampire Dialectic. Blood Sausage, Blood Stew, Blood Orange: Food for All Seasons. And the awfully named Plasmatlas, which contained maps of important vampire locales. ~ Chloe Neill,
1024:In reality, the apparent 'objectivity' of modern architecture is merely a mysticism in reverse, a congealed sentimentality disguised as objectivity; moreover one has seen often enough just how quickly this attitude is converted, in its protagonists, into the most changeable and arbitrary of subjectivisms. ~ Titus Burckhardt,
1025:As a result of reading science fiction when I was eight, I grew up with an interest in music, architecture, city planning, transportation, politics, ethics, aesthetics on any level, art...it's just total! It's a complete commitment to the whole human race on all the Earth. That's what science fiction is about. ~ Ray Bradbury,
1026:Concrete you can mold, you can press it into - after all, you haven't any straight lines in your body. Why should we have straight lines in our architecture? You'd be surprised when you go into a room that has no straight line - how marvelous it is that you can feel the walls talking back to you, as it were. ~ Philip Johnson,
1027:Of the church itself I will say the fewest possible number of words. It was a church such as there are, I think, thousands in England — low, incommodious, kept with difficulty in repair, too often pervious to the wet, and yet strangely picturesque, and correct too, according to great rules of architecture. ~ Anthony Trollope,
1028:Poor minorities live in a new age of Jim Crow, one in which the ravages of segregation, racism, poverty and dashed hopes are amplified by the forces of privatization, financialization, militarization and criminalization, fashioning a new architecture of punishment, massive human suffering and authoritarianism. ~ Henry Giroux,
1029:Jayne was left an only child after her younger sister committed suicide in adolescence (a tragedy, yes, but one whose psychic statute of limitations might have run out by now - not that you'd get his wife to relinquish the trauma , which seemed to confer the special-protection status of landmark architecture. ~ Lionel Shriver,
1030:No, the problem at Göbekli Tepe is the pristine, sudden appearance, like Athena springing full-grown and fully armed from the brow of Zeus, of what appears to be an already seasoned civilization so accomplished that it “invents” both agriculture and monumental architecture at the apparent moment of its birth. ~ Graham Hancock,
1031:Urban architecture would be reimagined around atomic survival to ensure a single bomb couldn’t do too much damage. They would be built in new design styles like “coiled rope,” “ribbon,” or “cellular” cities that were low-density, narrow, and composed of small “productive cells” connected by express highways. ~ Garrett M Graff,
1032:As an orangutan cannot embrace higher mathematics or comprehend the architecture and operation of a computer, we humans __ so good at loudly proclaiming our intelligence and applauding our own doltish displays of cerebral gymnastics __ cannot begin to understand the true structure and functioning of the Universe. ~ John Rachel,
1033:But it is as silly to think about peer-to-peer as applying just to music as it would have been to think about the Internet as applying just to pornography. Whatever the initial use of the technology, it has nothing to do with the potential of the architecture to serve many other extremely important functions. ~ Lawrence Lessig,
1034:We hated Bauhaus. It was a bad time in architecture. They just didn’t have any talent. All they had were rules. Even for knives and forks they created rules. Picasso would never have accepted rules. The house is like a machine? No! The mechanical is ugly. The rule is the worst thing. You just want to break it. ~ Oscar Niemeyer,
1035:While cities are distinguished by their architecture and physical appearance, Bell and de-Shalit make a compelling case that many major world cities--and their inhabitants--also express their own distinctive ethos or values. The Spirit of Cities takes the reader on a wide-ranging and lively personal journey. ~ Witold Rybczynski,
1036:The bottom line for mathematicians is that the architecture has to be right. In all the mathematics that I did, the essential point was to find the right architecture. It's like building a bridge. Once the main lines of the structure are right, then the details miraculously fit. The problem is the overall design. ~ Freeman Dyson,
1037:Chance imagined himself no stranger to the machinations by which people went about establishing the architecture of their own imprisonment, the citadels from whose basement windows one might on occasion hear their cries. Like Houdini, we construct the machinery of our entrapment from which we must finally escape or die. ~ Kem Nunn,
1038:Once the language was in the actors’ minds, and their bodies were freed from blocking, and in relationship to real architecture, they became virtuosic. Metaphor suddenly had a more intimate relationship with reality. The actor was real, the staircase was real, the emotion was real, and the language floated on top.     ~ Sarah Ruhl,
1039:An obsession that I've developed in my old age, is great architecture. I bought a house in New Orleans and I became quite enamored of the architecture there. It began there. I travel a lot or my work, so now, wherever I go, I wasn't to find the most beautiful church, the most beautiful museums. Anything ancient. ~ Jennifer Coolidge,
1040:I'm involved in everything from highly progressive lighting systems to airline interiors. In the field of transportation I can go from the micro to the macro: architecture, transportation, industrial product design, right across the board. It's Russian dollism, because they all interrelate: one goes into the other. ~ Ross Lovegrove,
1041:So what does the architecture of your application scream? When you look at the top-level directory structure, and the source files in the highest-level package, do they scream “Health Care System,” or “Accounting System,” or “Inventory Management System”? Or do they scream “Rails,” or “Spring/Hibernate,” or “ASP”? ~ Robert C Martin,
1042:The thing about Chicago is that it really isn't like any other place. The architecture and the layout of the city are the best. I'm from the Midwest, and consider myself a Midwesterner. I feel most at home there. I love California. I have great friends in California. I just have always considered Illinois to be home. ~ Vince Vaughn,
1043:Actors become actors because they loved entertaining their family by putting on the lampshade and dancing around as a kid, ... That's not my personality. For me, the fun part of making movies is seeing it as a director sees it. I like the architecture of movies. I like knowing what's coming and working to set that up. ~ Jodie Foster,
1044:A person's life consists of a collection of events, the last of which could also change the meaning of the whole, not because it counts more than the previous ones but because once they are included in a life, events are arranged in an order that is not chronological but, rather, corresponds to an inner architecture. ~ Italo Calvino,
1045:Our journey begins in Part One with new discoveries about the brain's emotional architecture that offer an explanation of those most baffling moments in our lives when feeling overwhelms all rationality. Understanding the interplay of brain structures that rule our moments of rage and fear—or passion and joy—reveals ~ Daniel Goleman,
1046:In Alexandria were parks and gardens, palaces, shrines and a zoo. The city was rich in sights to please even the most jaded traveller, and its architecture laid out its cultural and intellectual claims to pre-eminence. The pharaoh-emperor’s arrival was the most extraordinary occasion most Egyptians would ever see. ~ Elizabeth Speller,
1047:Story ideas, but it's also musing on stuff that I'm thinking about. This leads me to this and this leads me to this. They're kind of random and haphazard. Often I can't find anything. Somehow, by doing that, even though I don't necessarily refer to them in a specific way, I have some sort of architecture in my head. ~ Charlie Kaufman,
1048:Sustainability has become a religion in architecture - not that there's anything wrong with it - but I think it has to work both ways. Everyone thinks architecture has to be subservient to sustainability, but what if we thought in the other direction, like, what can sustainability do to make architecture more exciting? ~ Vito Acconci,
1049:When I get interested in a new topic I teach a class on it. There's a graduate seminar I teach in which the students and I try to expand the terminology we use to talk about poetry as well as expand our notion of what makes a poem - we read source texts on architecture, dance, photography, film and the graphic novel. ~ Matthea Harvey,
1050:As an architect, I try to be guided not by habit but by a conscious sense of the past-by precedent, thoughtfully considered...As an artist, I frankly write about what I like in architecture: complexity and contradiction. From what we find we like-what we are easily attracted to-we can learn much of what we really are. ~ Robert Venturi,
1051:I turn away from him and step into the vastness of New Crobuzon, this towering edifice of architecture and history, this complexitude of money and slum, this profane steam-powered god. I turn and walk into the city my home, not bird or garuda, not miserable crossbreed.
I turn and walk into my home, the city, a man. ~ China Mi ville,
1052:Never talk to a client about architecture. Talk to him about his children. That is simply good politics. He will not understand what you have to say about architecture most of the time. An architect of ability should be able to tell a client what he wants. Most of the time a client never knows what he wants. ~ Ludwig Mies van der Rohe,
1053:The term architecture is used here to describe the attributes of a system as seen by the programmer, i.e., the conceptual structure and functional behavior, as distinct from the organization of the data flow and controls, the logical design, and the physical implementation. i. Additional details concerning the architecture ~ Fred Brooks,
1054:Architecture is the triumph of human imagination over materials, methods, and men, to put man into possession of his own Earth. It is at least the geometric pattern of things, of life, of the human and social world. It is at best that magic framework of reality that we sometimes touch upon when we use the word order. ~ Frank Lloyd Wright,
1055:She was talking about those odds and ends of “futuristic” Thirties and Forties architecture you pass daily in American cities without noticing; the movie marquees ribbed to radiate some mysterious energy, the dime stores faced with fluted aluminum, the chrome-tube chairs gathering dust in the lobbies of transient hotels. ~ William Gibson,
1056:What is now called "green architecture" is an opportunistic caricature of a much deeper consideration of the issues related to sustainability that architecture has been engaged with for many years. It was one of the first professions that was deeply concerned with these issues and that had an intellectual response to them. ~ Rem Koolhaas,
1057:Words change meaning over time, and often in unpredictable ways. Queen Anne is said (probably apocryphally) to have commented about Sir Christopher Wren's architecture at St. Paul's Cathedral that it was "awful, artificial, and amusing"—by which she meant that it was awe-inspiring, highly artistic, and thought-provoking. ~ Antonin Scalia,
1058:Buttresses were one of the prime structural features of Gothic architecture: by accommodating the thrust of the vaults transferred to them from strategic points, they allowed for walls pierced by a multitude of windows to rise to spectacular heights, filling the church with heavenly light—the aspiration of all Gothic builders. ~ Ross King,
1059:I've spent a lot of very happy times in Edinburgh as a result of playing virtually every festival since 1996. It's also a beautiful city in its own right, is walkable, within sight of the sea and mountains - and was too far north for the Luftwaffe to have done any damage, hence the spectacularly beautiful architecture. ~ Marcus Brigstocke,
1060:The Astors and the Vanderbilts, their pleasure domes and money: she was sick of it. Sick of envying, sick of herself. She didn't understand antiques or architecture, she couldn't draw like Sylvia, she didn't read like Ted, she had few interests and no expertise. A paucity for love was the only true thing she'd ever had. ~ Jonathan Franzen,
1061:When you see all of the pandas in this movie [Kung Fu Panda 3], they are rolling because that is exactly what they do. Not only were we able to watch the pandas play but we had free range to walk around and get a feel for the architecture and get a sense of where they lived ,so there's a lot of firsthand exploration. ~ Jennifer Yuh Nelson,
1062:Luckily, scientists have uncovered a few secrets to help make the process of creating habits easier. In their bestselling book Nudge, the economist Richard Thaler and the law professor Cass Sunstein show how to influence other people’s behavior through carefully designed choices, or what they called “choice architecture.” You ~ Susan David,
1063:Sure, the Roman Catholic Church is an abomination to man and a disgrace to God, but it comes with a highly structured Mass, several sacred pilgrimages, the oldest songs, the most impressive architecture, and a whole bunch of things to do whenever you enter the church. Taken all together, they make you one with your brother. ~ Joshua Ferris,
1064:The first gesture of an architect is to draw a perimeter; in other words, to separate the microclimate from the macro space outside. This in itself is a sacred act. Architecture in itself conveys this idea of limiting space. It's a limit between the finite and the infinite. From this point of view, all architecture is sacred. ~ Mario Botta,
1065:Ah, but it is hard to find this track of the divine in the midst of this life we lead, in this besotted humdrum age of spiritual blindness, with its architecture, its business, its politics, its men! How could I fail to be a lone wolf, and an uncouth hermit, as I did not share one of its aims nor understand one of its pleasures? ~ Anonymous,
1066:to astrology and its “supra-terrestrial” claims we owe the grand style of architecture in Asia and Egypt It seems that all great things first have to bestride the earth in monstrous and frightening masks in order to inscribe themselves in the hearts of humanity with eternal demands: dogmatic philosophy was such a mask; ~ Friedrich Nietzsche,
1067:About a month before I gave birth, Colin moved to LA full-time. Once Rudy arrived, Colin settled happily into his new role, returning to architecture when an interesting project cropped up. Pretty amazing, right? Unless you reverse the gender, and then it's what women who have the choice to stay home do 95 percent of the time. ~ Nell Scovell,
1068:Darmstadt is one of those German towns that, having been landscaped by Allied heavy bombers, rezoned by the Red Army, and rebuilt by the Marshall Plan, demonstrates perfectly that (a) sometimes it’s better to lose a war than to win one, and (b) some of the worst crimes against humanity are committed by architecture students. ~ Charles Stross,
1069:Does an architecture to assuage the spirit have a place in all this? Unfortunately we are no longer the interpreters of our culture's myths but the followers of that dubious client, the developer, who has little patience with the art of architecture, the fine detail and obscure promise, which can upset his financial activity. ~ Arthur Erickson,
1070:Having chosen his own death, Henri Christophe would never know the corruption of his flesh, flesh fused with the very stuff of the fortress, inscribed in its architecture, integrated with its body bristling with flying buttresses. Le Bonnet del'Eveque, the whole mountains, had become the mausoleum of the first King of Haiti. ~ Alejo Carpentier,
1071:The last thing a young artist should do in poetry or any other field is think about whats in style, whats current, what are the trends. Think instead of what you like to read, what do you admire, what you like to listen to in music. What do you like to look at in architecture? Try to make a poem that has some of those qualities. ~ Robert Pinsky,
1072:This sense of time, the awareness that countless others have come before and that others will follow in endless generations, distinguishes man from other animals. With this discovery of the meaning of death—that man’s own life is limited—the life of architecture begins. And so begins man the creator’s effort to conquer time. ~ Daniel J Boorstin,
1073:As time went by we developed a sort of ideology without ever formulating it as such. I've always said that we are documenting the sacred buildings of Calvinism. Calvinism rejects all forms of art and therefore never developed its own architecture. The buildings we photograph originate directly from this purely economical thinking. ~ Bernd Becher,
1074:For many parts of Nature can neither be invented with sufficient subtlety, nor demonstrated with sufficient perspicuity, nor accommodated unto use with sufficient dexterity, without the aid and intervening of the mathematics, of which sort are perspective, music, astronomy, cosmography, architecture, engineery, and divers others. ~ Francis Bacon,
1075:I like things that are kind of eclectic, when one thing doesn't go with another. That's why I love Rome. The town itself is that way. It's where Fascist architecture meets classic Renaissance, where the ancient bangs up against the contemporary. It has a touch of everything. That's my style, and that's what my work is about. ~ Giambattista Valli,
1076:It is my trade," he said. "I work for the bean family, and every day there are deaths among the beans, mostly from thirst. They shrivel and die, they go blind in their one black eye, and I put them in one of these tiny coffins. Beans, you know, are beautifully shaped, like a new church, like modern architecture, like a planned city ~ Janet Frame,
1077:In architecture it isn't enough to just have the right building that works well. It can also be beautiful. It can also be different. It can create surprise. And surprise is the main thing in a work of art. [] I like and respect Brasí­lia very much. It is a simple city, a rational one. I always defend the urban design of Brasí­lia ~ Oscar Niemeyer,
1078:Poetic style, when address'd to the Soul, is less definite form, outline, sculpture, and becomes vista, music, half-tints, and even less than half- tints. True, it may be architecture; but again it may be the forest wild-wood, or the best effects thereof, at twilight, the waving oaks and cedars in the wind, and the impalpable odor. ~ Walt Whitman,
1079:The problems of human subjectivity replicate themselves at many different scales, like the overtones and undertones in a stringed instrument striking ghost-intervals up and down into infinity. This is not Hegel's ingenuity, it is his responsiveness to the organic structure in us that echoes itself throughout the whole architecture. ~ Kenny Smith,
1080:Another of the strange and evil tendencies of the present day is the decoration of the railroad station... There was never more flagrant nor impertinent folly than the smallest portion of ornament in anything connected with the railroads... Railroad architecture has or would have a dignity of its own if it were only left to its work. ~ John Ruskin,
1081:Ah, to build, to build! That is the noblest art of all the arts. Painting and sculpture are but images, Are merely shadows cast by outward things On stone or canvas, having in themselves No separate existence. Architecture, Existing in itself, and not in seeming A something it is not, surpasses them As substance shadow. ~ Henry Wadsworth Longfellow,
1082:Newton, for instance, attempted to comprehend the diversities of the universe with a single system of mathematical laws, the objectivity, sobriety and logic of Palladian architecture presented an aesthetic formula which, while accepting variations and adjustments according to climate and other needs, could be applied universally. ~ Stephen Gardiner,
1083:To go back to architecture, whats organic about architecture as a field, unlike product design, is this whole issue of holism and of monumentality is really our realm. Like, we have to design things which are coherent as a single object, but also break down into small rooms and have an identity of both the big scale and the small scale. ~ Greg Lynn,
1084:Bad architecture is in the end as much a failure of psychology as of design. It is an example expressed through materials of the same tendencies which in other domains will lead us to marry the wrong people, choose inappropriate jobs and book unsuccessful holidays: the tendency not to understand who we are and what will satisfy us. ~ Alain de Botton,
1085:I like to be surrounded by books. My wife Evelyn has a PhD in comparative literature so we have a lot of her Spanish and German literature books which are wasted on me, plus a lot of novels and books on art and architecture shared by us both. Evelyn used to edit an art magazine called FMR, so we have a common interest in design. ~ David Chipperfield,
1086:I must study Politicks and War that my sons may have the liberty to study Mathematicks and Philosophy. My sons ought to study Geography, natural History, Naval Architecture, navigation, Commerce and Agriculture, in order to give their children a right to study Painting, Poetry, Musick, Architecutre, Statuary, Tapestry, and Porcelaine. ~ John Ferling,
1087:In the last few years, making things more usable has become almost everybody’s responsibility. Visual designers and developers now often find themselves doing things like interaction design (deciding what happens next when the user clicks, taps, or swipes) and information architecture (figuring out how everything should be organized). I ~ Steve Krug,
1088:Steal from anywhere that resonates with inspiration or fuels your imagination. Devour old films, new film, music, books, paintings, photographs, poems, dreams, random conversations, architecture, bridges, street signs, trees, clouds, bodies of water, light and shadows. Select only things to steal from that speak directly to your soul. ~ Jim Jarmusch,
1089:The human face is the most deeply ingrained image in our brains. It is the two dots and a dash we connect with as babies. It is the focus of our attention in our relationships with each other. The face and the human figure express all we are. Everything else - architecture, art, even landscape - we usually understand in relation to us. ~ Dave McKean,
1090:There were positive things about the church, that is, in the European cultural sense, the architecture, the liturgy, the music, the art, such as it was, the stations of the cross in the church, the tradition, and the atmosphere of awe and mystery in the mass. The atmosphere of miracle, one of mainly mystery, that's what fascinates me. ~ Frank McCourt,
1091:A person's life consists of a collection of events,
the last of which could also change the meaning of the whole,
not because it counts more than the previous ones
but because once they are included in a life,
events are arranged in an order that is not chronological but, rather,
corresponds to an inner architecture. ~ Italo Calvino,
1092:In my early 20s I was so miserable doing construction, I wanted something that paid money. I liked nice stuff. I liked cars and architecture, and things that cost money. I wanted to not swing a hammer, and make money… and not do stuff that was dirty. I attempted to get into comedy. I started to do stand-up, but I wasn’t very good at it. ~ Adam Carolla,
1093:Bill Sofield has the ability to understand the architecture of a space and how to utilize it in the most beautiful way. He can create completely different styles for his clients based on who they are and what their taste is. Our efforts have always been collaborative, and I value what he brings to the table architecturally and aesthetically. ~ Tom Ford,
1094:If there is no idea in the drawing, there is no idea in the constructed project. That's the expression of the idea. Architects make drawings that other people build. I make the drawings. If someone wants to build from those, that's up to them. I feel I'm making architecture. I believe the building comes into being as soon as it's drawn. ~ Lebbeus Woods,
1095:Architecture has its own realm. It has a special physical relationship with life. I do not think of it primarily as either a message or symbol, but as an envelope and background for life which goes on in and around it, a sensitive container for the rhythm of footsteps on the floor, for the concentration of work, for the silence of sleep. ~ Peter Zumthor,
1096:It is not metres, but a metre-making argument that makes a poem,—a thought so passionate and alive that like the spirit of a plant or an animal it has an architecture of its own, and adorns nature with a new thing. The thought and the form are equal in the order of time, but in the order of genesis the thought is prior to the form. ~ Ralph Waldo Emerson,
1097:When put into print, thought is more imperishable than ever; it is volatile, intangible, indestructible; it mingles with the air. In the time of architecture, it became a mountain, and made itself master of a century and a region. Now it has been transformed into a flock of birds, scattering to the four winds and filling all air and space. ~ Victor Hugo,
1098:I began as a dramatist in the theater, so I'm always thinking about how a story moves, what it looks like, how to engage the senses, how dialogue sounds, what feels authentic and sounds real, what's funny, how to build distinctive and original characters - all the aspects of playwriting, scene-building, the architecture of dramatizing. ~ Adriana Trigiani,
1099:I must study politics and war,” wrote John Adams, “that my sons may have the liberty to study mathematics and philosophy, geography, natural history, and naval architecture, navigation, commerce, and agriculture, in order to give their children a right to study painting, poetry, music, architecture, statuary, tapestry and porcelain. ~ Charles Krauthammer,
1100:I was inspired by the androgyny of Yohji Yamanoto's designs to translate the clothing's dualities onto screen and image. I was playing with a multitude of influences for the S/S 2012 campaign, inspired by the modernist literature and architecture that is in itself a fusion of political and architectural mantras, both dreamy and concrete. ~ Collier Schorr,
1101:Architecture has its own realm. It has a special physical relationship with life. I do not think of it primarily as either a message or a symbol, but as an envelope and background for life which goes on in and around it, a sensitive container for the rhythm of footsteps on the floor, for the concentration of work, for the silence of sleep. ~ Peter Zumthor,
1102:As a viewer, that's work I respond to - work that I know is singular in some way. If I'm being challenged by something on screen, if I don't quite know why it's happening, I want to know I can do the work of pulling it apart and that there'll be something satisfactory about it. If the architecture is sound, you can be lyrical in execution. ~ Shane Carruth,
1103:Walkers are 'practitioners of the city,' for the city is made to be walked. A city is a language, a repository of possibilities, and walking is the act of speaking that language, of selecting from those possibilities. Just as language limits what can be said, architecture limits where one can walk, but the walker invents other ways to go. ~ Rebecca Solnit,
1104:What each school offers is something unique. But, there are two types of activity an architect must be educated on. First, the architect needs concentrated activities to learn the guidelines, and that is what school is for. But, second, is the public aspect of education. The architect needs to see architecture in the streets to learn. ~ Santiago Calatrava,
1105:A total work of art is only possible in the context of the whole of society. Everyone will be a necessary co-creator of a social architecture, and, so long as anyone cannot participate, the ideal form of democracy has not been reached. Whether people are artists, assemblers of machines or nurses, it is a matter of participating in the whole. ~ Joseph Beuys,
1106:It is impossible to analyze “the meaning of life” in the abstract, or in general, or for some mythical and perfectly rational being. Only by knowing the kinds of beings that we actually are, with the complex mental and emotional architecture that we happen to possess, can anyone even begin to ask about what would count as a meaningful life ~ Jonathan Haidt,
1107:It is impossible to analyze "the meaning of life" in the abstract, or in general, or for some mythical and perfectly rational being. Only by knowing the kinds of beings that we actually are, with the complex mental and emotional architecture that we happen to possess, can anyone even begin to ask about what would count as a meaningful life. ~ Jonathan Haidt,
1108:religions merit our attention for their sheer conceptual ambition; for changing the world in a way that few secular institutions ever have. They have managed to combine theories about ethics and metaphysics with a practical involvement in education, fashion, politics, travel, hostelry, initiation ceremonies, publishing, art and architecture ~ Alain de Botton,
1109:what you are doing is sort of architectural. You have to have a design in view, in which you design a chapter, or a proof of a theorem, as the case may be. Then you have to put it together out of words or out of symbols as the case may be, but if you don’t have a clear architecture in mind then the thing won’t end up being any good. ~ Mihaly Csikszentmihalyi,
1110:When the functionality of a product or service overshoots what customers can use, it changes the way companies have to compete. When the product isn't yet good enough, the way you compete is by making better products. In order to make better products, the architecture of the product has to be interdependent and proprietary in character. ~ Clayton Christensen,
1111:All the same, the fundamental truths which govern that art are still unchangeable; just as the principles of mechanics must always govern architecture, whether the building be made of wood, stone, iron or concrete; just as the principles of harmony govern music of whatever kind. It is still necessary, then, to establish the principles of war. ~ Ferdinand Foch,
1112:It is hard not to be inspired when you're living in New York. It doesn't matter what you do. I think that there is so much going on in this city. I like walking around or taking the subway, thinking about all the history here, looking at the architecture and all the people; of course, the museums. It's tough to find a better place to live. ~ Diego Klattenhoff,
1113:What is wanted in architecture, as in so many things, is a man. ... One suggestion might be made-no profession in England has done its duty until it has furnished a victim. ... Even our boasted navy never achieved a great victory until we shot an admiral. Suppose an architect were hanged? Terror has its inspiration, as well as competition. ~ Benjamin Disraeli,
1114:Eroticism, hallucinogenic drugs, nuclear science, Gaudi's Gothic architecture, my love of gold - there is a common denominator in all of it: God is present in everything. The same magic is at the heart of all things, and all roads lead to the same revelation: we are children of God, and the entire universe tends towards the perfection of mankind. ~ Salvador Dali,
1115:That afternoon She listened to the grievances of the dead from two warring nations. Both sides had suffered, both sides had legitimate grievances, both pled their cases earnestly. She covered Her ears and moaned in misery. She knew Her humans were multidimensional and She could no longer live under the rigid architecture of Her youthful choices. ~ David Eagleman,
1116:There is no piece of music that could relate to anything else but itself and its world. It is truly an independent. The one thing coplanar with music is the compositional aspect, the fact that you are composing something. Architecture is essentially a score, and what happens with it depends on the people who play it, enjoy it, use it, or hate it. ~ Rafael Vinoly,
1117:Despite their claims to a purely scientific and reasoned approach, the relationship of Modernist architects to their work remained at base a romantic one; they looked to architecture to support a way of life that appealed to them. Their domestic buildings were conceived as stage sets for actors in an idealised drama about contemporary existence. ~ Alain de Botton,
1118:You’ll join me sooner than you know in a place with . . . no illusions, where the truth is the only architecture, the only color, the only sound--where that which we sense merely on occasion, and which takes us up and gives us the rare and beautiful glimpses of the things we truly love, flows in deep rivers and tumbles about like clouds in the sky. ~ Mark Helprin,
1119:On the other hand, a system being developed by five different teams, each of which includes seven developers, cannot make progress unless the system is divided into well-defined components with reliably stable interfaces. If no other factors are considered, the architecture of that system will likely evolve into five components—one for each team. ~ Robert C Martin,
1120:When experiencing a work of art, a curious exchange takes place; the work projects its aura, and we project our own emotions and precepts on the work. The melancholy in Michelangelo's architecture is fundamentally the viewer's sense of his/her own melancholy enticed by the authority of the work. Enigmatically, we encounter ourselves in the work. ~ Juhani Pallasmaa,
1121:Let us today seek to find that place within each of us where dreams are made, where our highest aspirations take shape. Let us confirm the power of our humanity by giving architecture and substance to the dreams we have for our nation, so that the promised land of social and economic justice that is within our dreams will soon be within our sight. ~ Dennis Kucinich,
1122:Toutefois, l'architecture musulmane connaît également un plan concentrique, celui du mausolée recouvert d'une coupole. Le prototype de ce plan se retrouve aussi bien dans l'art byzantin comme dans l'art asiatique, où il symbolise l'union du ciel et de la terre, le soubassement recangulaire correspondant à la terre et la coupole sphérique au ciel. ~ Titus Burckhardt,
1123:Even the West has known the architecture of empty space, whose object, for thousands of years, has been less to construct divine houses, than to create sacred places, to seize upon mystery and to immerse man in it-whether by raising the cyclopean pedestal that surrounds him with stars, or by hollowing out the sanctuary that wraps him in haunted night. ~ Andre Malraux,
1124:solidarity is now broken, and every worker is alone, facing the blackmail of merit, the humiliation of failure, the threat of being made redundant. What follows is a sense of guilt, anxiety and reciprocal resentment for the perceived mutual inability to help each other, to build solidarity. This is how the heavy architecture of shared depression is built. ~ Anonymous,
1125:Consent of the Networked will become the seminal book firmly establishing the responsibility of those who control the architecture and the politics of the network to the citizens who inhabit our new digital world. Consent of the Networked should be required reading for all of those involved in building our networked future as well as those who live in it. ~ Joichi Ito,
1126:I believe that architecture today needs to reflect on the task and possibilities which are inherently its own. Architecture is not a vehicle or a symbol for things that do not belong to its essence. In a society that celebrates the inessential, architecture can put up a resistance, counteract the waste of forms and meanings, and speak its own language. ~ Peter Zumthor,
1127:Dad and Mom were frustrated artists - Dad wanted to study engineering or architecture and Mom wanted to be an actress - but the world was a different place when they were young so Dad became a public works foreman and Mom became a stay-at-home mom. When I said I wanted to be a writer, they were thrilled. They did everything in their power to support me. ~ Eden Robinson,
1128:the only plan I’ve come up with is writing. I can write, because writing—unlike choreography, architecture, or conquering kingdoms—is a thing you can do when you’re lonely and poor, and have no infrastructure, i.e., a ballet troupe or some cannons. Poor people can write. It’s one of the few things poverty, and lack of connections, cannot stop you doing. ~ Caitlin Moran,
1129:What matters? Lives of the good and the great, the innocence of dogs, the cunning of cats, the elegance of nature, the wonders of space, the perfectly thrown outfield assist, the difference between historical guilt and historical responsibility, homage and sacrilege in monumental architecture, fashions and follies and the finer uses of the F-word. ~ Charles Krauthammer,
1130:You can’t make a renaissance person anymore, because the range of what you would need to do is just impossible. But you could actually assemble a renaissance team.”7 The integrative thinkers rely on their “renaissance teams” to broaden salience, maintain sophisticated causality, and create a holistic architecture in their drive for creative resolution. ~ Roger L Martin,
1131:Birds and airfish, singly and in vast flocks that dimmed the sun, filled the spaces between the ocean and the clouds, lazily trailing one long wing across the brief smooth curvings between the waves before disappearing amongst the long rolling troughs again, or weaving columnar patterns like grey, fractal shadows against the soaring architecture of cloud. ~ Iain M Banks,
1132:But in the dynamic space of the living Rocket, the double integral has a different meaning. To integrate here is to operate on a rate of change so that time falls away: change is stilled..."Meters per second" will integrate to "meters." The moving vehicle is frozen, in space, to become architecture, and timeless. It was never launched. It never did fall. ~ Thomas Pynchon,
1133:Our collaboration with Datapipe on a managed hybrid cloud solution for AWS removes many of the common barriers to cloud adoption. It offers customers the best of both worlds by providing Equinix's secure data center platform, including private access to AWS, along with Datapipe's expertise in designing and managing an optimal IT architecture for enterprises. ~ John Landy,
1134:Qatar does not have much history, it's a new emirate. So I couldn't draw on the history of the country; its history is really just being a desert. But I thought, the one thing I must learn about for this project is the Islamic faith. So I read about Islam and Islamic architecture, and the more I studied the more I realized where the best Islamic buildings were. ~ I M Pei,
1135:The US ... cultivates no origin or mythical authenticity; it has no past and no founding truth ... it lives in a perpetual present. in the US everything human is artificial. The country is without hope. What is arresting here is ... both the absence of architecture in the cities and the dizzying absence of emotion and character in the faces and bodies. ~ Jean Baudrillard,
1136:Calligraphy,” said Plato, “is the physical manifestation of an architecture of the soul.” That being so, mine must be a turf-and-wattle kind of soul, since my handwriting would be disowned by a backward cat; whereas yours, particularly on your charts, has a most elegant flow and clarity, the outward form of a soul that might have conceived the Parthenon. ~ Patrick O Brian,
1137:For me, architecture is an art the same as painting is an art or sculpture is an art. Yet, architecture moves a step beyond painting and sculpture because it is more than using materials. Architecture responds to functional outputs and environmental factors. Yet, fundamentally, it is important for me to stress the art in architecture to bring harmony. ~ Santiago Calatrava,
1138:What holds us back in life is the invisible architecture of fear. It keeps us in our comfort zones, which are, in truth, the least safe places in which to live. Indeed, the greatest risk in life is taking no risks. But every time we do that which we fear, we take back the power that fear has stolen from us—for on the other side of our fears lives our strength. ~ Anonymous,
1139:But in the dynamic space of the living Rocket , the double integral has a different meaning. To integrate here is to operate on a rate of change so that time falls away: change is stilled...'Meters per second ' will integrate to 'meters.' The moving vehicle is frozen, in space, to become architecture, and timeless. It was never launched. It never did fall. ~ Thomas Pynchon,
1140:My high school had been a renovated old hospital, so when I first came to the UCLA campus in the spring of 1965, I was immediately impressed by the classic northern Italian architecture that was mixed with futuristic ultra-modern buildings. The classic architecture gave it the heft of old wisdom while the modernistic look inspired hope for the future. ~ Kareem Abdul Jabbar,
1141:The aphorism is a mode of symbolic representation that belongs to an era dominated by highly individualized and introverted experience, atomistic thought and feelings, an absence of commonly accepted religious beliefs and moral standards and the general disintegration of traditional culture. ~ Dalibor Vesely (2004), Architecture in the Age of Divided Representation, p. 346,
1142:As a kid growing up in the 1950s I became acutely aware of the changes taking place in American culture and I must say I didn't much like it. I witnessed the debasement of architecture, and I could see a decline in the quality of things like comic books and toys, things made for kids. Old things seemed to have more life, more substance, more humanity in them. ~ Robert Crumb,
1143:...go live happily alone requires a serious amount of intentional thought. It's not as simple as signing the lease on your own apartment and leaving it at that. You must figure out what you need to feel comfortable at home and in the world, no matter your means (indeed, staying within your means), and arrange your life accordingly--a metaphorical architecture. ~ Kate Bolick,
1144:I must study politics and war that my sons may have liberty to study mathematics and philosophy. My sons ought to study mathematics and philosophy, geography, natural history, naval architecture, navigation, commerce, and agriculture in order to give their children a right to study paintings, poetry, music, architecture, statuary, tapestry, and porcelain. ~ David McCullough,
1145:no species, ours included, possesses a purpose beyond the imperatives created by its genetic history. Species may have vast potential for material and mental progress but they lack any immanent purpose or guidance from agents beyond their immediate environment or even an evolutionary goal toward which their molecular architecture automatically steers them. ~ Edward O Wilson,
1146:Greek architecture taught me that the column is where the light is not, and the space between is where the light is. It is a matter of no-light, light, no-light, light. A column and a column brings light between them. To make a column which grows out of the wall and which makes its own rhythm of no-light, light, no-light, light: that is the marvel of the artist. ~ Louis Kahn,
1147:Leisure, itself the creation of wealth, is incessantly engaged in transmuting wealth into beauty by secreting the surplus energy which flowers in great architecture, great painting and great literature. Only in the atmosphere thus engendered floats that impalpable dust of ideas which is the real culture. A colony of ants or bees will never create a Parthenon. ~ Edith Wharton,
1148:This place was not like the Victorian Prisons of England with their imposing red-brick and neo-gothic architecture that was supposed to impress inmates with the power of the state;no, this place looked cobbled together, shoddy and temporary and the only thing it impressed upon you was how current British policy on Ireland was dominated by short-term thinking. ~ Adrian McKinty,
1149:Vitruvius, the great writer, architect and engineer, identified in his famous treatise on Architecture that the three values essential to any work of Architecture were: firmitas, utilitas, and venustas; or firmness, utility, and delight. Firmness meaning well built, solid and resistant; utility meaning useful and functional, and delight meaning beautiful. ~ Santiago Calatrava,
1150:Working with Joe [Kosinski], definitely. I loved working with Joe. For a guy who doesn't really come from the fiction world - he comes from advertising and architecture - he's extremely easy-going and very calm. He's extremely detailed, but a very generous and fun director to work with. He really encouraged me to find the fun in the part and to have fun with it. ~ James Frain,
1151:So far, the only plan I’ve come up with is writing. I can write, because writing—unlike choreography, architecture, or conquering kingdoms—is a thing you can do when you’re lonely and poor, and have no infrastructure, i.e., a ballet troupe or some cannons. Poor people can write. It’s one of the few things poverty, and lack of connections, cannot stop you doing. ~ Caitlin Moran,
1152:The more you enter, the more you become locked in. Your social-networking site becomes a central platform - a closed silo of content, and one that does not give you full control over your information in it. The more this kind of architecture gains widespread use, the more the Web becomes fragmented, and the less we enjoy a single, universal information space. ~ Tim Berners Lee,
1153:Mother refuses to sell to a buyer who’ll “ruin it,” which also seems to include making it a normal bed-and-breakfast or house. Though it has quaint Gothic architecture and decor, the mannequin body parts in seemingly every closet, brownish fake bloodstains on the wallpaper, and nooses hanging from ceilings aren’t the kind of characteristics anyone wants to preserve. ~ Cyn Balog,
1154:There is a meaning in each curve and line.
It is an architecture high and grand
By many named and nameless masons built
In which unseeing hands obey the Unseen, ~ Sri Aurobindo, Savitri, The Way of Fate and the Problem of Pain
Meaning of this World
Our means must be as great as our ends. ~ Sri Aurobindo, Essays in Philosophy and Yoga, The Ideal of the Karmayogin,
1155:When we come to understand architecture as the essential nature of all harmonious structure we will see that it is the architecture of music that inspired Bach and Beethoven, the architecture of painting that is inspiring Picasso as it inspired Velasquez, that it is the architecture of life itself that is the inspiration of the great poets and philosophers. ~ Frank Lloyd Wright,
1156:All dictators, irrespective of epoch or country, have one common trait: they know everything, are experts on everything. The thoughts of Qadaffi and Ceauşescu, Idi Amin and Alfredo Stroessner—there is no end to the profundities and wisdom. Stalin was expert on history, economics, poetry, and linguistics. As it turned out, he was also expert on architecture. ~ Ryszard Kapu ci ski,
1157:Among the innumerable monuments of architecture constructed by the Romans, how many have escaped the notice of history, how few have resisted the ravages of time and barbarism! And yet even the majestic ruins that are still scattered over Italy and the provinces, would be sufficient to prove that those countries were once the seat of a polite and powerful empire. ~ Edward Gibbon,
1158:A civilization is only a way of life. A culture is the way of making that way of life beautiful. So culture is your office here in America, and as no stream can rise higher than its source, so you can give no more or better to architecture than you are. So why not go to work on yourselves, to make yourselves, in quality, what you would have your buildings be? ~ Frank Lloyd Wright,
1159:I deliberately disregarded the right angle and rationalist architecture designed with ruler and square to boldly enter the world of curves and straight lines offered by reinforced concrete... This deliberate protest arose from the environment in which I lived, with its white beaches, its huge mountains, its old baroque churches, and the beautiful suntanned women. ~ Oscar Niemeyer,
1160:I graduated from Wesleyan University with a b.a. in art. I was really headed toward an architecture degree, but when I did the requirements for the major, I realized I was more interested in how people live in buildings than in making buildings. I was more interested in the interactions that happened inside the structures. So I got an art degree as a default position. ~ Glenn Ligon,
1161:I must study politics and war that my sons may have liberty to study mathematics and philosophy. My sons ought to study mathematics and philosophy, geography, natural history, naval architecture, navigation, commerce and agriculture, in order to give their children a right to study painting, poetry, music, architecture, statuary, tapestry and porcelain. —John Adams ~ Joel Rosenberg,
1162:Founded in 1336 AD, Vijayanagara would soon grow to be one of the world’s mightiest empires. At its peak, the Vijayanagara Empire covered a size that was larger than the Austrian empire. It was one of the richest empires of its time, which made foreign visitors wonder in awe, be it the architecture, the urban layouts or its immense wealth in diamonds and riches. ~ Ratnakar Sadasyula,
1163:In our unconsciousness we take credit where no credit is due, oblivious to the real source of everything we pretend is ours—the sacred origin not just of religion but also of everything else, of science and technology, education and law, of medicine, logic, architecture, ordinary daily life, the cry of longing, the excruciating ache of the awakening love for wisdom. ~ Peter Kingsley,
1164:After my last divorce, I said I was absolutely going to marry somebody in another field, an aid worker or something. Then I met Brad, everything I wasn't looking for, but the best man, the best father I could possibly wish for, you know? I don't see him as an actor. I see him very much as a dad, as somebody who loves travel and architecture more than being in movies. ~ Angelina Jolie,
1165:An invisible border arose between the parts of the house occupied by Esteban Trueba and those occupied by his wife. In response to Clara's imagination and the requirements of the moment, the noble, seigniorial architecture began sprouting all sorts of extra little rooms, staircases, turrets, and terraces...the big house on the corner soon came to resemble a labyrinth. ~ Isabel Allende,
1166:I must study Politicks and War that my sons may have liberty to study Mathematicks and Philosophy. My sons ought to study Mathematicks and Philosophy, Geography, natural History, Naval Architecture, navigation, Commerce and Agriculture, in order to give their Children a right to study Painting, Poetry, Musick, Architecture, Statuary, Tapestry and Porcelaine. (12 May 1780) ~ John Adams,
1167:I have always been interested in fashion as an informing design discipline: proportion structure, detail, materiality, texture, color and quality. With a heightened interest in, and an awareness of the built environment, the 'store' has become a critical, perceptual and psychological component of merchandising as well as imaging. Architecture and fashion are partners. ~ Charles Gwathmey,
1168:After plagiarizing the Egyptian heritage, the Jew has parasitically continued his cultural appropriation into yet another culture (i.e. the Semitic) and introduced the Egyptian Cow Yahweh (i.e. Hathor) into Scripture (i.e. Exodus 17:15) while describing in detailed manner the masonic architecture of erecting the quadrature columns (נָצַב) and pillars (נֵס) for this god. ~ Ibrahim Ibrahim,
1169:APOPHYGE  (APO'PHYGE)   n.s.[  flight, or escape.]Is, in architecture, that part of a column, where it begins to spring out of its base; and was originally no more than the ring or ferrel, which anciently bound the extremities of wooden pillars, to keep them from splitting, and were afterward imitated in stone work. We sometimes call it the spring of the column.Chambers. ~ Samuel Johnson,
1170:The word Gothic, in the sense in which it is generally employed, is wholly unsuitable, but wholly consecrated. Hence we accept it and we adopt it, like all the rest of the world, to characterize the architecture of the second half of the Middle Ages, where the ogive is the principle which succeeds the architecture of the first period, of which the semi-circle is the father. ~ Victor Hugo,
1171:What connects architecture and music is that neither one is really an object. It's more like an ambience, a surrounding and a context. You can do other things while you're listening to music and of course, you can do other things while you're in the middle of architecture. The notion of multi-attention seems to me like it's the keynote to the beginning of the 21st century. ~ Vito Acconci,
1172:Construction is the art of making a meaningful whole out of many parts. Buildings are witnesses to the human ability to construct concrete things. I believe that the real core of all architectural work lies in the act of construction. At the point in time concrete materials are assembled and erected, the architecture we have been looking for becomes part of the real world. ~ Peter Zumthor,
1173:It was very definitely architectural. I was using the words on the page as some kind of equivalent of a physical model. But I never thought at that point that I wanted to move toward architecture. I wanted to move toward real space. Sure, that's probably another way of saying, I want to move toward architecture. But I didn't define real space in terms of architecture, then. ~ Vito Acconci,
1174:I am big supporter of the idea of a global anti-corruption movement - but one that begins by recognizing that the architecture of corruption is different in different countries. The corruption we suffer is not the same as the corruption that debilitates Africa. But it is both corruption, and both need to be eliminated if the faith in democracy is not going to be destroyed. ~ Lawrence Lessig,
1175:In America access is always about architecture and never about human beings. Among Israelis and Palestinians, access was rarely about anything but people. While in the U.S. a wheelchair stands out as an explicitly separate experience from the mainstream, in the Israel and Arab worlds it is just another thing that can go wrong in a place where things go wrong all the time. ~ John Hockenberry,
1176:At a mixer at the Art and Architecture School, I met Ray Connors. He had small, worried eyes and fine, babyish hair, already receding. His back was hurting him; two years ago he had fallen down an elevator shaft. He was graduating from the Architecture School in January. He went off to get me a glass of wine; by the time he came back, I had practically forgotten his existence. ~ Tama Janowitz,
1177:Lesson learned. To succeed as an architect in this world is to sacrifice vision to reality. The field of architecture pretends to be art, and sometimes a house design that is real and true gets recognition, but in reality, success is more often a business. A little seed of brilliance that had germinated and begun to sprout deep inside Duncan withered and died that afternoon. ~ Katharine Weber,
1178:we find from their writings that Moses, Job, David, Solomon and Isaiah were the leading scientists of the ages in which they lived. They understood natural history, architecture, sculpture, poetry, music, botany, and in astronomy they made such progress that many of the constellations still retain the names they used, such as Orion, Pleaides, etc. (See Job xxxviii, 31; Amos v, 8.) ~ Anonymous,
1179:There is always going to something very grounded about the characters I play, because of my Chicago roots, because the city is so grounded. Even my wife pointed it out when we were in the city, she said that even the architecture is grounded in Chicago, it's so solid. Because it has to deal with winters. There is something about Chicago that keeps people centered and grounded. ~ Jake M Johnson,
1180:Architects mostly work for privileged people, people who have money and power. Power and money are invisible, so people hire us to visualize their power and money by making monumental architecture. I love to make monuments, too, but I thought perhaps we can use our experience and knowledge more for the general public, even for those who have lost their houses in natural disasters. ~ Shigeru Ban,
1181:She missed the built environment of New York City. It was only in an urban landscape, amid straight lines and architecture, that she could situate herself in human time and history. She missed people. She missed human intrigue, drama and power struggles. She needed her own species, not to talk to, necessarily, but just to be among, as a bystander in a crowd or an anonymous witness. ~ Ruth Ozeki,
1182:Psychotherapy makes every problem a subjective, inner problem. And that's not where the problems come from. They come from the environment, the cities, the economy, the racism. They come from architecture, school systems, capitalism, exploitation. They come from many places that psychotherapy does not address. Psychotherapy theory turns it all on you: you are the one who is wrong. ~ James Hillman,
1183:When I began writing this story, I thought I had some idea of what it was about. I was wrong. In my life writing I've discovered that there are times when a story, like architecture, is carefully designed, erected, and furnished. Then there are tales that take their own way, and I find myself being dragged along after them like a white-knuckled water skier behind a speedboat. ~ Richard Paul Evans,
1184:You could say that my aim is ‘to recover the place’. The place is a result of nature and time; this is the most important aspect. I think my architecture is some kind of frame of nature. With it, we can experience nature more deeply and more intimately. Transparency is a characteristic of Japanese architecture; I try to use light and natural materials to get a new kind of transparency. ~ Kengo Kuma,
1185:My favorite, how did you put it now? Landscapes, animals, plants? Favorite what? Books, music, architecture, painting? I don't have any favorite animals, no favorite mosquitoes, favorite beetles, favorite worms, even with the best will in the world I cannot tell you which birds or fish or predators I prefer, it would also be difficult for me to have to choose much more generally. ~ Ingeborg Bachmann,
1186:By shifting the empirical emphasis from the search for mental faculties as unified neurobiological categories towards developing a more componential, constructionist functional architecture of the human brain, the overlap in empirical findings across psychological domains is not a problem for reverse inference, but becomes the engine that drives a more valid approach to reverse inference. ~ Anonymous,
1187:The substance of fictional architecture is not bricks and mortar but evanescent consciousness. Sometimes, therefore, a door opens onto a hallway impossibly, and the placement of our heating ducts and storage space borders on the irresponsible. I have great trouble, myself, in imagining the floor plans of split-level homes, though I feel they are important sites of the American condition. ~ John Updike,
1188:In the false American imagination, West Virginia is a joke or else it's a charity case; but more than anything it is unseen, an invisible architecture of labor and struggle; and incarceration shares this invisibility, hidden at the center of everything; our slipshod remedy for an abiding fear, danger pinned to human bodies and then slotted into bunk beds you can't see from any highway. ~ Lauren F Winner,
1189:What Edith taught me was this: to live happily alone requires a serious amount of intentional thought. It’s not as simple as signing the lease on your own apartment and leaving it at that. You must figure out what you need to feel comfortable at home and in the world, no matter your means (indeed, by staying within your means), and arrange your life accordingly—a metaphorical architecture. ~ Kate Bolick,
1190:It’s a much more beautiful city than I’d imagined, soaring gray buildings and grand, imposing architecture. Perhaps it’s the fact that the streets glitter with frost and there are snowflakes blowing in the air, but there’s a magical edge to it. It’s Christmas in two days; revelers spill onto the cobbled pavements from the bars and pubs, and it’s wall-to-wall festive music on the cab radio. ~ Josie Silver,
1191:Prologue When I began writing this story, I thought I had some idea of what it was about. I was wrong. In my life writing I've discovered that there are times when a story, like architecture, is carefully designed, erected, and furnished. Then there are tales that take their own way, and I find myself being dragged along after them like a white-knuckled water skier behind a speedboat. ~ Richard Paul Evans,
1192:Stories are compasses and architecture; we navigate by them, we build our sanctuaries and our prisons out of them, and to be without a story is to be lost in the vastness of a world that spreads in all directions like arctic tundra or sea ice. To love someone is to put yourself in their place, we say, which is to put yourself in their story, or figure out how to tell yourself their story. ~ Rebecca Solnit,
1193:Steal from anywhere that resonates with inspiration or fuels your imagination. Devour old films, new films, music, books, paintings, photographs, poems, dreams, random conversations, architecture, bridges, street signs, trees, clouds, bodies of water, light and shadows. Select only things to steal from that speak directly to your soul. If you do this, your work (and theft) will be authentic. ~ Austin Kleon,
1194:Steal from anywhere that resonates with inspiration or fuels your imagination. Devour old films, new films, music, books, paintings, photographs, poems, dreams, random conversations, architecture, bridges, street signs, trees, clouds, bodies of water, light and shadows. Select only things to steal from that speak directly to your soul. If you do this, your work (and theft) will be authentic. ~ Jim Jarmusch,
1195:I had never considered myself to be a dishonest person, hating the idea that I was capable of such mendacity and deceit, but the more I examined the architecture of my life, the more I realized how fraudulent were its foundations. The belief that I would spend the rest of my time on earth lying to people weighed heavily on me and at such times I gave serious consideration to taking my own life. ~ John Boyne,
1196:I want to try to come away from that one directional, clear rectangular form. It's not used because it's the most beautiful form; it's just the practical thing. That's why our TVs are rectangles. Even in modern architecture, they want us to believe, "That's the nicest, most beautiful thing." I love modern architecture, but actually it's that they cannot afford amorphous shapes or ornaments. ~ Pipilotti Rist,
1197:The geometry reveals five development direction for applications (each with endless possibilities); dividing, dwelling, trestle, fenestration and artistic installation. I find these enabled designs so reflective of an ever-changing world where contextual factors and technological resources are shifting definitions of architecture, design, and the traditional boundaries between disciplines. ~ Dror Benshetrit,
1198:The professors at MIT—some of the most innovative technologists in the world—wanted nothing to do with an open-office-style workspace. They instead demanded the ability to close themselves off. This combination of soundproofed offices connected to large common areas yields a hub-and-spoke architecture of innovation in which both serendipitous encounter and isolated deep thinking are supported. ~ Cal Newport,
1199:Traditional society was more like a set of concentric circles of meaningful structures, while modern man must learn how to find meaning in many structures to which he is only marginally related. In the village, language and architecture and religion and work and family customs were consistent with one another, mutually explanatory and reinforcing. To grow into one implied a growth into others. ~ Ivan Illich,
1200:When we talk of architecture, people usually think of something static; this is wrong. What we are thinking of is an architecture similar to the dynamic and musical architecture achieved by the Futurist musician Pratella. Architecture is found in the movement of colours, of smoke from a chimney and in metallic structures, when they are expressed in states of mind which are violent and chaotic. ~ Carlo Carra,
1201:Googie architecture could...be seen in its finest flowering among the essentially homogeneous and standardized enterprises of roadside commercial strips: hot-dog stands in the shape of hot dogs, ice-cream stands in the shape of ice-cream cones. There are obvious examples of virtual sameness trying, by dint of exhibitionism, to appear unique and different from their similar commercial neighbors. ~ Jane Jacobs,
1202:Our time has produced a need for contrast. This has been achieved not only in the external appearance of plastic expressions of coulor and matter, but also, and chiefly, in the tempo of life and in the techniques related to the daily, mechanical functions of life; namely standing, walking, driving, to lying and sitting - in short, every action which determines the content of architecture. ~ Theo van Doesburg,
1203:I got into architecture because I was searching for a way to produce in the world. I went to art school and thought I would do it through art, but I realized very quickly that I was interested in the social ramifications of form making. So buildings became the vehicle and fulfilled that thing. That satisfied me when I produced them. I decided this is what I wanted to do with the rest of my life. ~ David Adjaye,
1204:Nakamoto’s invention has given birth to a new kind of platform—one with open architecture and a governance model but no central authority. Having no need for gatekeepers, it will put serious pressure on existing platforms that rely on costly gatekeepers. Financial services that claim 2–4 percent of transactions simply for passing them may in the future be hard pressed to justify their rake. ~ Geoffrey G Parker,
1205:Another thing to understand is the notion of choice architecture, which means that the environment in which we make decisions tends to have a lot to do with what our final decisions are. So if you’re in line at the buffet, the way the food is organized—whether the fresh fruit and salad is easily accessible or tucked in the back behind more tempting options—will determine what you end up eating. ~ Jocelyn K Glei,
1206:For architecture, among all the arts, is the one that most boldly tries to reproduce in its rhythm the order of the universe, which the ancients called “kosmos,” that is to say ornate, since it is like a great animal on whom there shine the perfection and the proportion of all its members. And praised be our Creator who, as the Scriptures say, has decreed all things in number, weight, and measure. ~ Umberto Eco,
1207:Stories are compasses and architecture; we navigate by them, we build our sanctuaries and our prisons out of them, and to be without a story is to be lost in the vastness of a world that spreads in all directions like arctic tundra or sea ice. To love someone is to put yourself in their place, we say, which is to put yourself in their story, or to figure out how to tell yourself in their story. ~ Rebecca Solnit,
1208:Catholics speak, like baseball players, in the coded language of gesture. Sure, the Roman Catholic Church is an abomination to man and a disgrace to God, but it comes with a highly structured Mass, several sacred pilgrimages, the oldest songs, the most impressive architecture, and a whole bunch of things to do whenever you enter the church. Taken all together, they make you one with your brother. ~ Joshua Ferris,
1209:The house belonged to the hashish school of Spanish architecture. Probably early nineteen-twenties and imitation Mizener, which made it the imitation of an imitation which wasn't worth imitating. It was a ponderous monstrosity with thick walls, meager windows, insane turrets. Somebody with a hidalgo complex had tried to jail a dream of happiness. The prisoner had probably died, or lost its mind. ~ Ross Macdonald,
1210:In paintings, music, poetry, architecture, we feel the elusive energy that moves through us and the air and the ground all the time, that usually disperses and turns chaotic in our busy-ness and distractedness and moodiness. Artists channel it, corral it, make it visible to the rest of us. The best works of art are like semaphores of our experience, signaling what we didn't know was true but do now. ~ Anne Lamott,
1211:... those who have never entered upon scientific pursuits know not a tithe of the poetry by which they are surrounded... Sad, indeed, is it to see how men occupy themselves with trivialities, and are indifferent to the grandest phenomena - care not to understand the architecture of the heavens, but are deeply interested in some contemptible controversy about the intrigues of Mary Queen of Scots! ~ Herbert Spencer,
1212:Cultures are like books, the anthropologist Claude Lévi-Strauss once remarked, each a volume in the great library of humankind. In the sixteenth century, more books were burned than ever before or since. How many Homers vanished? How many Hesiods? What great works of painting, sculpture, architecture, and music vanished or never were created? Languages, prayers, dreams, habits, and hopes—all gone. ~ Charles C Mann,
1213:I realized that the way I approached architecture was with a somewhat fashion brain. That didn't get me very good marks in school, because everyone thought fashion was lightweight. In architecture they say, "Well, why is the door pink? Where does it go? What does the pink mean? What does it symbolize? All the other doors are beige, why is that one pink?" I was like, "Well, it's pink because it's pretty." ~ Tom Ford,
1214:I think it's basically quite different from dreams. If only cinema was that easy. Because dreams, all you have to do is fall asleep, and you can have fantastic vision. I know Baudelaire and people like that enhance their dreams with opium or something. But films are very constructed - they're like architecture. They're pieced together, glued together. To me, it's a craft. It's like making a tapestry. ~ Kenneth Anger,
1215:In the summer there are twelve cottonwoods around the pool, which in the winter become an elevated thicket. There is also a courtyard with a small garden of plants that stay green all year. The winter is bleak. This place is primarily for the installation of art, necessarily for whatever architecture of my own that can be included in an existing situation, for work, and altogether for my idea of living. ~ Donald Judd,
1216:Forgetting Arch, forgetting tailors and backstreets and cats, Quincy lost herself in the magnificent architecture built to house even more magnificent machines. The train Quincy loved: its perfection of movement and speed and sound; its possibility and potential; its ability to efficiently transport the masses. It was here that Quincy always found the gears of her own mind worked loose, set back in place. ~ Beth Brower,
1217:Everyday I became more convinced that good literature has little or nothing to do with trivial fancies such as “inspiration” or “having something to tell” and more with the engineering of language, with the architecture of narrative , with the painting of texture, with the timrbres and colors of the staging. With the cinematography of words, and the music that can be produced by an orchestra of ideas ~ Carlos Ruiz Zaf n,
1218:The perennial architectural debate has always been, and will continue to be, about art versus use, visions versus pragmatism, aesthetics versus social responsibility. In the end, these unavoidable conflicts provide architecture's essential and productive tensions; the tragedy is that so little of it rises above the level imposed by compromise, and that this is the only work most of us see and know. ~ Ada Louise Huxtable,
1219:A man walks down the street. It's a street in a strange world. Maybe it's the third world. Maybe it's his first time around. He doesn't speak the language. He holds no currency. He is a foreign man. He is surrounded by the sound, sound of cattle in the marketplace, scatterlings and orphanages. He looks around, around he sees angels in the architecture spinning in infinity and he says, "Amen" and "Hallelujah! ~ Paul Simon,
1220:Steal from anywhere that resonates with inspiration or fuels your imagination. Devour old films, new films, music, books, paintings, photographs, poems, dreams, random conversations, architecture, bridges, street signs, trees, clouds, bodies of water, light and shadows. Select only things to steal from that speak directly to your soul. If you do this, your work (and theft) will be authentic.” —Jim Jarmusch ~ Austin Kleon,
1221:At a certain point, I got interested in set design for the theater. I was interested in architecture, but I was taking photographs at the same time, and architecture, though it had the design element, it didn't have the narrative, emotional element that I was looking to do. I ended up painting for a while. I was dancing around it, and I realized that all these different interests came together in filmmaking. ~ Neil Burger,
1222:First, let’s consider the notion that using services, by their nature, is an architecture. This is patently untrue. The architecture of a system is defined by boundaries that separate high-level policy from low-level detail and follow the Dependency Rule. Services that simply separate application behaviors are little more than expensive function calls, and are not necessarily architecturally significant. ~ Robert C Martin,
1223:François Mitterrand was a student of architecture, he had done a lot of research before he called me. He said, "You did something special at the National Gallery of Art in Washington - you brought the new and the old together." But John Russell Pope finished the West Building in 1941, so when the East Building opened it was only about 40 years old. But the Louvre is 800 years old! A much bigger design challenge. ~ I M Pei,
1224:I feel very strongly that history is about everything. It isn't just about politics or the military or social issues. If art, music, engineering, science, medicine, finance, the world of architecture and technology - if those are left out, then you're not getting a full sense of the human condition. History is human and we human beings are involved in all kinds of things and that's part of our humanity. ~ David McCullough,
1225:Now do you not see that the eye embraces the beauty of the whole world? It counsels and corrects all the arts of mankind... it is the prince of mathematics, and the sciences founded on it are absolutely certain. It has measured the distances and sizes of the stars it has discovered the elements and their location... it has given birth to architecture and to perspective and to the divine art of painting. ~ Leonardo da Vinci,
1226:she gives birth in pain, she heals males' wounds, she nurses the newborn and buries the dead; of man she knows all that offends his pride and humiliates his will. While inclining before him and submitting flesh to spirit, she remains on the carnal borders of the spirit; and she contests the sharpness of hard masculine architecture by softening the angles; she introduces free luxury and unforeseen grace. ~ Simone de Beauvoir,
1227:We should hope for even less participation, not more. Ideally, politics would occupy only a small portion of the average person’s attention. Ideally, most people would fill their days with painting, poetry, music, architecture, statuary, tapestry, and porcelain, or perhaps football, NASCAR, tractor pulls, celebrity gossip, and trips to Applebee’s. Most people, ideally, would not worry about politics at all.3 ~ Jason Brennan,
1228:Why, there's the air, the sky, the morning, the evening, moonlight, my friends, women, the beautiful architecture of Paris to study, three big books to write and all sorts of other things. Anaxagoras used to say that he was in the world in order to admire the sun. And then I have the good fortune to be able to spend my days from morning to night in the company of a man of genius - myself - and it's very pleasant. ~ Victor Hugo,
1229:All buildings, large or small, public or private, have a public face, a facade; they therefore, without exception, have a positive or negative effect on the quality of the public realm, enriching or impoverishing it in a lasting and radical manner. The architecture of the city and public space is a matter of common concern to the same degree as laws and language—they are the foundation of civility and civilisation. ~ Leon Krier,
1230:Management from an architecture perspective is about Striving toward technology excellence Delivering projects Resolving issues Partnering with executives Managing your time Grooming technical talent Enhancing your skill set These areas of management for architects are always in contention with one another. Change is a constant within these areas; the key is to learn to balance and prioritize these conflicting forces. ~ Anonymous,
1231:To achieve this his team had a sophisticated stress testing environment. When they wanted to improve the architecture they would first improve the stress tests until the system broke. Then they would improve the architecture just enough to run the tests. I suggested this strategy to an architect at another company. He complained of spending all of his time writing specifications and then explaining them to developers. ~ Kent Beck,
1232:I stood in the center of the Pantheon under the massive oculus, boiling. It was noon and the sun was right overhead, blinding everyone in the room.
“Not incredibly practical to cut a hole in the roof if you ask me,” I deadpanned to the ten-year-old beside me.
She sighed heavily and rolled her eyes, walking away with Architecture of the Italian Renaissance shoved underneath her arm. Very cultured, these kids today. ~ R S Grey,
1233:I usually don't share the music with the musicians until right before they have to record their parts, because I hate discussing it and just intellectualizing it on any level. I just want to speak from the subconscious, which knows way more about me than my intellectual side will ever know. Once I start doing the arrangements - what I call the architecture of the song - that has a lot of thought put into it. ~ Omar Rodriguez Lopez,
1234:In some conditions, the architecture of textile is more relevant than in other conditions or the opacity of the material form. Pattern in the world of scarce materiality and a hybridity becomes a way of creating a new authenticity. Sometimes there is a certain kind of nobility of a group of materials literally of the earth, which had a certain nobility of presence, but is very different from the materials we have now. ~ David Adjaye,
1235:The color and spectacle of Mexico's streets sparked my interest in community driven space and experience, a passion that I began to develop while studying architecture at Syracuse University and then at the Architectural Association School in London. Having been immersed in such a diverse array of lively environments, it would be impossible for me not to use these memories and experiences as inspiration for my work. ~ David Rockwell,
1236:I cannot pursue my architecture without considering the minimization of energy consumption, simple and direct technologies, a respect for site, climate, place and culture. Together, these disciplines represent for me a fantastic platform for experimentation and expression. Of particular importance is the junction of the rational and the poetic resulting hopefully in works that resonate and belong to where they reside. ~ Glenn Murcutt,
1237:An aptitude test established architecture as an alternative [career]. But what decided the matter for [Teddy Cruz] was the sight of a fourth-year architecture student sitting at his desk at a window, drawing and nursing a cup of coffee as rain fell outside. 'I don't know, I just liked the idea of having this relationship to the paper and the adventure of imagining the spaces. That was the first image that captured me. ~ Rebecca Solnit,
1238:I am critical of the fact that Hungarian Prime Minister Viktor Orbán is pulling out of everything - the joint approach to the refugee issue, for example. He cannot disparage his colleagues in the EU either - that's not how we treat each other. We require solidarity: in refugee policies, just as in the financial architecture of the structural funds from which countries like Hungary have strongly profited from for years. ~ Martin Schulz,
1239:Let the labyrinth of wrinkles be furrowed in my brow with the red-hot iron of my own life, let my hair whiten and my step become vacillating, on condition that I can save the intelligence of my soul - let my unformed childhood soul, as it ages, assume the rational and esthetic forms of an architecture, let me learn just everything that others cannot teach me, what only life would be capable of marking deeply in my skin! ~ Salvador Dal,
1240:What I resist is techniques. I find techniques very problematic. So when critics talk about my work in those terms, I find that they miss the condition. I am comfortable with the notion of pattern and ornament as a system of organization, [but] for me it acts as a textile. So it's not about pattern, but the notion of architecture through the lens of textile, rather than architecture through the lens of brick and mortar. ~ David Adjaye,
1241:In Paris style is everything. That is traditionally understood. Every street, every structure, every shopgirl has style. The style of Parisian architecture has been proved and refined by at least three centuries of academic dictates and highly developed taste. There are few violations of this taste, and there is exemplary architectural consistency. Paris has defined the aesthetics of a sophisticated urban culture. ~ Ada Louise Huxtable,
1242:Let the labyrinth of wrinkles be furrowed in my brow with the red-hot iron of my own life, let my hair whiten and my step become vacillating, on condition that I can save the intelligence of my soul - let my unformed childhood soul, as it ages, assume the rational and esthetic forms of an architecture, let me learn just everything that others cannot teach me, what only life would be capable of marking deeply in my skin! ~ Salvador Dali,
1243:I don’t know anything different about death than I ever have, but I feel differently. I inhabit this difference in feeling- or does it live in me?- at the same time as I’m sorrowing. The possibility of consolation, of joy even, does not dispel the sorrow. Sorrow is the cathedral, the immense architecture; in its interior there’s room for almost everything; for desire, for flashes of happiness, for making plans for the future… ~ Mark Doty,
1244:At any point in the world's history most architecture is going to be bad but I think there's been a collective mentality since the late Conservative years - the end of Thatcher/start of Major and certainly continued throughout New Labour and continuing now - that new is necessarily better, so there is this neophilia which isn't the vanguard of progress, it's just the vanguard of the construction industry enjoying itself. ~ Jonathan Meades,
1245:I received the fundamentals of my education in school, but that was not enough. My real education, the superstructure, the details, the true architecture, I got out of the public library. For an impoverished child whose family could not afford to buy books, the library was the open door to wonder and achievement, and I can never be sufficiently grateful that I had the wit to charge through that door and make the most of it. ~ Isaac Asimov,
1246:Ninety-eight percent are boxes, which tells me that a lot of people are in denial. We live and work in boxes. People don't even notice that. Most of what's around us is banal. We live with it. We accept it as inevitable. People say, "This is the world the way it is, and don't bother me." Then when somebody does something different, real architecture, the push-back is amazing. People resist it. At first it's new and scary. ~ Philip Johnson,
1247:Well-established Supreme Court precedents indicate that states - like the states of Washington and Minnesota - have no equal-protection rights of their own, nor can they vindicate equal-protection rights of their citizens. The same is true about being able to challenge alleged religious discrimination. This limitation on the states' authority to champion such claims is fundamental to our separation-of-powers architecture. ~ David B Rivkin,
1248:A building is akin to dogma; it is insolent, like dogma. Whether or no it is permanent, it claims permanence, like a dogma. People ask why we have no typical architecture of the modern world, like impressionism in painting. Surely it is obviously because we have not enough dogmas; we cannot bear to see anything in the sky that is solid and enduring, anything in the sky that does not change like the clouds of the sky. ~ Gilbert K Chesterton,
1249:There are systems upon systems that are incredible but possessed of a pleasing architecture or a certain agreeable sensationalism. The metaphysicians of Tlön seek not truth, or even plausibility - they seek to amaze, astound. In their view, metaphysics is a branch of the literature of fantasy. They know a system is naught but the subordination of all the aspects of the universe to one of those aspects - any one of them. ~ Jorge Luis Borges,
1250:Something I always wanted to do, to capture that later half of the '70s. It's like the early half of the '70s is still the '60s, in that there's still kind of a playfulness and inventiveness in terms of design and the things that were going on in the culture. The second half, it got much more commodified. It's possibly the ugliest era of architecture and clothes and design in the entire 20th century, from 1975 to '81 or '82. ~ Daniel Clowes,
1251:Some bemoan the brutalism of socialist architecture, but was the blandness of capitalist architecture any better? One could drive for miles along a boulevard and see nothing but parking lots and the kudzu of strip malls catering to every need, from pet shops to water dispensaries to ethnic restaurants and every other imaginable category of mom-and-pop small business, each one an advertisement for the pursuit of happiness. ~ Viet Thanh Nguyen,
1252:Whenever I listen to an artist or an art historian I'm struck by how much they see and how much they know--and how much I don't.

Good art writing should therefore do at least two things. It should teach us how to look: at art, architecture, sculpture, photography and all the other visual components of our daily landscape. And it should give us the information we need to understand what we're looking at. ~ William Zinsser,
1253:Literature, although it stands apart by reason of the great destiny and general use of its medium in the affairs of men, is yet an art like other arts. Of these we may distinguish two great classes: those arts, like sculpture, painting, acting, which are representative, or as used to be said very clumsily, imitative; and those, like architecture, music, and the dance, which are self-sufficient, and merely presentative. ~ Robert Louis Stevenson,
1254:At the beginning, I thought the best Islamic work was in Spain - the mosque in Cordoba, the Alhambra in Granada. But as I learned more, my ideas shifted. I traveled to Egypt, and to the Middle East many times.I found the most wonderful examples of Islamic work in Cairo, it turns out. I'd visited mosques there before, but I didn't see them with the same eye as I did this time. They truly said something to me about Islamic architecture. ~ I M Pei,
1255:The sun beams down on Algiers — but the inhabitants do not smile back. It is a surly city, harrowed by the stresses of over-population and under-employment; with the architecture of Cannes, but the atmosphere of Aberdeen. During the day the cafés are thronged with all-male, typically Arab society. At night the city, responsive to President Boumedienne’s own personal brand of puritanism, closes down like wartime Toronto on a Sunday. ~ Alistair Horne,
1256:What’s your story? It’s all in the telling. Stories are compasses and architecture; we navigate by them, we build our sanctuaries and our prisons out of them, and to be without a story is to be lost in the vastness of a world that spreads in all directions like arctic tundra or sea ice. To love someone is to put yourself in their place, we say, which is to put yourself in their story, or figure out how to tell yourself their story. ~ Rebecca Solnit,
1257:The rest of America, with some small exceptions, has been bulldozed and rebuilt and then bulldozed and rebuilt again. Our places have become interchangeable. Here in New Orleans, everything from the architecture to the way in which people eat, the way in which they talk, the way in which they do business, the way in which they dance, the manner in which everything is set to a parade beat, they're all from here. There's no place like it. ~ David Simon,
1258:The behavior of each component is part of the architecture insofar as that behavior can be observed or discerned from the point of view of another component [9]. In other words, a component is defined by its interface and the services it provides to other components, rather than by its implementation behind the interface. Parnas [101] would define this as the set of assumptions that other architectural elements can make about the component. ~ Anonymous,
1259:God is the great mysterious motivator of what we call nature and it has been said often by philosophers, that nature is the will of God. And, I prefer to say that nature is the only body of God that we shall ever see. If we wish to know the truth concerning anything, we'll find it in the nature of that thing. ~ Frank Lloyd Wright, quoted in Truth Against the World : Frank Lloyd Wright speaks for an organic architecture (1987) edited by Patrick J. Meehan,
1260:Medieval technology could raise marvels of architecture 200 feet in the air, it could conceive the mechanics of a loom capable of weaving patterned cloth, and of a gearshaft capable of harnessing the insubstantial air to turn a heavy millstone, but it failed to conceive the fore-and-aft rig and swinging boom capable of adapting sails to the direction of the wind. By such accident of the human mind, war, trade, and history are shaped. ~ Barbara W Tuchman,
1261:Merely reducing sentence length, by itself, does not disturb the basic architecture of the New Jim Crow. So long as large numbers of African Americans continue to be arrested and labeled drug criminals, they will continue to be relegated to a permanent second-class status upon their release, no matter how much (or how little) time they spend behind bars. The system of mass incarceration is based on the prison label, not prison time. ~ Michelle Alexander,
1262:Everything in New Orleans is a good idea. Bijou temple-type cottages and lyric cathedrals side by side. Houses and mansions, structures of wild grace. Italianate, Gothic, Romanesque, Greek Revival standing in a long line in the rain. Roman Catholic art. Sweeping front porches, turrets, cast-iron balconies, colonnades- 30-foot columns, gloriously beautiful- double pitched roofs, all the architecture of the whole wide world and it doesn't move. ~ Bob Dylan,
1263:The difference between an aphorism and a fragment is in their means of articulation. While aphorisms are primarily literary or philosophical, fragments can be pictorial, musical, or architectural as well. But because the highest degree of articulation can be achieved in an aphorism, it remains for all fragments the measure of possible expression and of their latent meaning. ~ Dalibor Vesely(2004), Architecture in the Age of Divided Representation, p. 346,
1264:First, it’s hard to be optimistic, because the brain’s filtering architecture is pessimistic by design. Second, good news is drowned out, because it’s in the media’s best interest to overemphasize the bad. Third, scientists have recently discovered an even bigger cost: it’s not just that these survival instincts make us believe that “the hole we’re in is too deep to climb out of,” but they also limit our desire to climb out of that hole. ~ Peter H Diamandis,
1265:They were asking Cullen to tell them things that Cullen believed he could not tell them. The detectives’ job was to help resolve this paradox for him. They needed to challenge his belief system so deeply that the architecture of his universe failed. Then they needed to rebuild the world into one in which confessing to murder seem like a good option. And the only way to do that was to create a situation where not talking was actually worse. ~ Charles Graeber,
1266:I studied in London in 1968. Our school had a separate department of tropical architecture. Of course it was totally unfashionable, partly because nobody wanted to think about colonialism, but basically what you learned there was that, OK, the sun is here, so you should create natural ventilation here - an unbelievable amount of really sound principles that have been completely abandoned, so now everything is air conditioned with big machines. ~ Rem Koolhaas,
1267:The Ankh-Morpork Central Post Office had a gaunt frontage. It was a building designed for a purpose. It was, therefore, more or less, a big box to employ people in, with two wings at the rear, which enclosed the big stable yard. Some cheap pillars had been sliced in half and stuck on the outside, some niches had been carved for some miscellaneous stone nymphs, some stone urns had been ranged along the parapet, and thus Architecture had been created. ~ Anonymous,
1268:We marvel why, among the most progressive Western nations, architecture should be so devoid of originality, so replete with repetitions of obsolete styles. Perhaps we are passing through an age of democratisation in art, while awaiting the rise of some princely master who shall establish a new dynasty. Would that we loved the ancients more and copied them less! It has been said that the Greeks were great because they never drew from the antique. ~ Kakuz Okakura,
1269:Architecture is, to a certain extent, a sensual gratification. It addresses itself to the eye, and affords the best scope for the parade of barbaric pomp and splendour. It is the form in which the revenues of a semi-civilized people are most likely to be lavished. The most gaudy and ostentatious specimens of it, and sometimes the most stupendous, have been reared by such hands. It is one of the first steps in the great march of civilization. ~ William H Prescott,
1270:The Romans learn Art from their Greek slaves, but they learn reluctantly. They are almost Modern in their reluctance; they are almost ready to say that a killing machine is beautiful if it works. They are not quite that modern, and they let Greek craftsmen conceal the brutal militarism with Architecture, Sculpture and Painting. They learn Aesthetics, that strange ability to see in blood gushing from a wound only the beauty of the shape and color. ~ Fredy Perlman,
1271:Despite all the opinions churchless (and churched) people offer about musical styles, architecture, sound systems, creativity, intellectualism, and the menu of programs provided by churches, none of these is the main attraction. These elements are nice sideshows, but people don’t come to church for the carnival rides. They come to meet God. People complain about the uncomfortable seats and stale popcorn when center stage is empty of the main event. ~ George Barna,
1272:I've always viewed the Paris Agreement as a starting point. If you look at all the commitments that have been made by all the countries, it's still not sufficient to deal with the very dangerous situation we face. What it has done is that it created an architecture whereby as technology improves, as we find new clean sources of energy, as we make our economies more efficient, then gradually we can turn up the dial and improve the outcomes of Paris. ~ Barack Obama,
1273:The nice thing about twitter is the architecture of visibility. Email is invisible unless you reach out to someone directly. With Twitter, anyone can follow you and this is one of the big changes that was really introduced by Flickr, was this wonderful idea that you can follow somebody without their permission. Recognizing that relationships are asymmetrical, unlike facebook where we have to acknowledge each other otherwise we can’t see each other. ~ Tim O Reilly,
1274:I have devoted myself to architecture as a sublime act of poetic imagination. Consequently, I am only a symbol for all those who have been touched by beauty. The words Beauty, Inspiration, Magic, Spellbound, Enchantment, as well as the concepts of Serenity, Silence, Intimacy and Amazement, all these have nestled in my soul. Though I am fully aware that I have not done them complete justice in my work, they have never ceased to be my guiding lights. ~ Luis Barragan,
1275:It is comforting that travel should have an architecture, and that it is possible to contribute a few stones to it, although the traveller is less like one who constructs landscapes -- for that is a sedentary task -- than like one who destroys them. . . . But even destruction is a form of architecture, a deconstruction that follows certain rules and calculations, an art of disassembling and reassembling, or of creating another and different order. ~ Claudio Magris,
1276:I used to read more when I was a kid than I do now. It was all sort of fuel for the fire to teach you how to think and how to make things and it informed the architecture that I was doing. It's better coming in with that history and that kind of knowledge and depth of understanding of humanity that is very important for building buildings - for understanding people and how they should live and how you could make your lives better and stuff like that. ~ Frank Gehry,
1277:A novel’s architecture, the lovely slope of predicament, the tendrils of surface detail, the calculated curving upward into inevitability, yet allowing spells of incorrigibility, and then the ending, a corruption of cause and effect and the gathering together of all the characters into a framed operatic circle of consolation and ecstasy, backlit with fibre-optic gold, just for a moment on the second-to-last page, just for an atomic particle of time. ~ Carol Shields,
1278:Geometry is of much assistance in architecture, and in particular it teaches us the use of the rule and compasses, by which especially we acquire readiness in making plans for buildings in their grounds, and rightly apply the square, the level, and the plummet. By means of optics the light in buildings can be drawn from fixed quarters of the sky. Difficult questions involving symmetry are solved by means of geometrical theories and methods. ~ Marcus Vitruvius Pollio,
1279:Negro music has touched America because it is the melody of the soul joined with the rhythm of the machine. It is in two part time; tears in the heart; movement of the legs, torso arms and head. The music of the era of construction; innovating. It floods the body and heart; it floods the USA and its floods the world. The jazz is more advanced than the architecture. If architecture were at the point reached by jazz, it would be an incredible spectacle. ~ Le Corbusier,
1280:I think I needed to really move past my first public work as memorialist, and be equally balanced. It's a bit unusual, to be working between the architecture, the art, and what I would say is a synthesis, the memorials - they're problem solving, but it's very symbolic. You get this triangle; I need to be balanced with those three. They're all equally a part of who I am. I love how different they are, and yet they're coming out the same thing, whatever it is. ~ Maya Lin,
1281:The ultimate aim of all artistic activity is building! ... Architects, sculptors, painters, we must all get back to craft! ... The artist is a heightened manifestation of the craftsman. ... Let us form ... a new guild of craftsmen without the class divisions that set out to raise an arrogant barrier between craftsmen and artists! ... Let us together create the new building of the future which will be all in one: architecture and sculpture and painting. ~ Walter Gropius,
1282:I could have had one life but insteads I had another because of this book my grandmother protected. What a miracle is that? I was taught to love beautiful things. I had a language in which to consider beauty. Later that extended to the opera, to the ballet, to architecture I saw, and even later still I came to realize that what I had seen in the paintings I could see in the fields or a river. I could see it in people. All of that I attribute to this book. ~ Ann Patchett,
1283:What is software architecture? The answer is multitiered. At the highest level, there are the architecture patterns that define the overall shape and structure of software applications 1 . Down a level is the architecture that is specifically related to the purpose of the software application. Yet another level down resides the architecture of the modules and their interconnections. This is the domain of design patterns 2 , packakges, components, and classes ~ Anonymous,
1284:There are a range of women not represented in the Western fairy tale tradition. Husband-beaters are particularly interesting, as well as male pederasts. Children are often told in The Arabian Nights, "This man likes to abduct boys, be careful of him." These issues are explored through the medium of the stories, but actually the architecture of the book is such that there are many examples of women who are loyal, brave, devoted - especially to their lovers. ~ Marina Warner,
1285:It should not be by their architecture, but why not even by their power of abstract thought, that nations should seek to commemorate themselves? How much more admirable the Bhagvat-Geeta than all the ruins of the East! Towers and temples are the luxury of princes. A simple and independent mind does not toil at the bidding of any prince. Genius is not a retainer to any emperor, nor is its material silver, or gold, or marble, except to a trifling extent. ~ Henry David Thoreau,
1286:When I was in architecture school, rather than giving us drafting boards and t-squares and lead pencils and stuff they gave us all the same tools that places like Digital Domain and ILM used to make features films or special effects. They gave us all these digital tools like Alias and Mya and Soft Image and all these kind of high-end computers, so I came out of architecture school knowing how to use all that stuff. And I started making short films at night. ~ Joseph Kosinski,
1287:Google is a shape-shifter, but each shape harbors the same aim: to hunt and capture raw material. Baby, won’t you ride my car? Talk to my phone? Wear my shirt? Use my map? In all these cases the varied torrent of creative shapes is the sideshow to the main event: the continuous expansion of the extraction architecture to acquire raw material at scale to feed an expensive production process that makes prediction products that attract and retain more customers. ~ Shoshana Zuboff,
1288:The crystal ball has a question mark in its center. There are some fundamental choices to be made. We will either choose to continue to wage a hopeless war to preserve the existing architecture for copyright by upping the stakes and using better weapons to make sure that people respect it. If we do this, public support for copyright will continue to weaken, pushing creativity underground and producing a generation that is alienated from the copyright concept. ~ Lawrence Lessig,
1289:line. Creativity is a response to our environment. Greek painting was a response to the complex light (the Greek painter Apollodoros was the first to develop a technique for creating the illusion of depth), Greek architecture a response to the complex landscape, Greek philosophy a response to the complex, uncertain times. The problem with paradise is that it is perfect and therefore requires no response. This is why wealthy people and places often stagnate. Athens ~ Eric Weiner,
1290:Find something you like, go into a room, close the door and read it aloud. Read it aloud. Everybody in the world who likes dance can see dance, or hear music, or see art, or admire architecture - but everybody in the world uses words who is not a recluse or mute. But the writer has to take these most common things, more common than musical notes or dance positions, a writer has to take some adverbs, and verbs and nouns and ball them up together and make them bounce. ~ Maya Angelou,
1291:Postmodern architecture has its own version of the pastiche or collage. As one journalist puts it, postmodernism “has brought us girders hanging unfinished out of the edges of buildings, archways cut off in space, and walls which don’t meet walls.” Ravi Zacharias describes seeing a building designed by a postmodern architect. “I had just one question,” Zacharias says. “Did he do the same with the foundation?” 5 It was an apologetics argument put in artistic terms. ~ Nancy R Pearcey,
1292:As part of a clandestine advance guard, their route was perilously unprotected. Lucas was glad to have Toussaint watching his back. Soldiering was in the private’s blood, but it wasn’t in Lucas’s. He’d been diverted from the infantry into the CRC, the Cultural Recovery Commission, a minuscule cadre of experts in art and architecture, recruited and dispatched to find, preserve, and protect the treasures that the Nazis had looted so far in their conquest of Europe. In ~ Robert Masello,
1293:People ask me all the time, 'How can I walk in these heels?' I answer with the best compliment I remember that came from a woman who lives here in Paris...I know my street much better. Heels permit me to take the time to look at the architecture of my street. Now I take time to look at things.' High heels give you time to think, to look at your surroundings- a camel has seen more in life than a very quick horse! Women should live to rhythm of high-heeled shoes! ~ Christian Louboutin,
1294:This kind of service-oriented architecture allows small teams to work on smaller and simpler units of development that each team can deploy independently, quickly, and safely. Shoup notes, “Organizations with these types of architectures, such as Google and Amazon, show how it can impact organizational structures, [creating] flexibility and scalability. These are both organizations with tens of thousands of developers, where small teams can still be incredibly productive. ~ Gene Kim,
1295:What is needed most in architecture today is the very thing that is most needed in life- Integrity. Just as it is in a human being, so integrity is the deepest quality in a building...if we succeed, we will have done a great service to our moral nature- the psyche- of our democratic society...Stand up for integrity in your building and you stand for integrity not only in the life of those who did the building but socially a reciprocal relationship is inevitable. ~ Frank Lloyd Wright,
1296:Dunbar’s generative conference room meetings remind us that the physical architecture of our work environments can have a transformative effect on the quality of our ideas. The quickest way to freeze a liquid network is to stuff people into private offices behind closed doors, which is one reason so many Web-era companies have designed their work environments around common spaces where casual mingling and interdepartmental chatter happens without any formal planning. ~ Steven Johnson,
1297:How strange it seems that education, in practice, so often means suppression: that instead of leading the mind outward to the light of day it crowds things in upon it that darken and weary it. Yet evidently the true object of education, now as ever, is to develop the capabilities of the head and of the heart. ~ Louis Sullivan, in "Emotional Architecture as Compared to Intellectual : A Study in Subjective and Objective", an address to the American Institute of Architects (October 1894),
1298:Let us then understand at once that change or variety is as much a necessity to the human heart and brain in buildings as in books; that there is no merit, though there is some occasional use, in monotony; and that we must no more expect to derive either pleasure or profit from an architecture whose ornaments are of one pattern, and whose pillars are of one proportion, than we should of a universe in which the clouds were all of one shape, and the trees all of one shape. ~ John Ruskin,
1299:Architecture is art. I don't think you should say that too much, but it is art. I mean, architecture is many, many things. Architecture is science, is technology, is geography, is typography, is anthropology, is sociology, is art, is history. You know all this comes together. Architecture is a kind of bouillabaisse, an incredible bouillabaisse. And, by the way, architecture is also a very polluted art in the sense that it's polluted by life, and by the complexity of things. ~ Renzo Piano,
1300:Most philosophers, psychologists and religious teachers subscribe, with minor reservations, to its main articles and, although they admit certain theoretical difficulties in it, they tend to assume that these can be overcome without serious modifications being made to the architecture of the theory. It will be argued here that the central principles of the doctrine are unsound and conflict with the whole body of what we know about minds when we are not speculating about them. ~ Anonymous,
1301:My dad, he's definitely one of greatest writers of his generation. There is no question about it. When you are that good, when work is that good, you have to appreciate every aspect of it. It's the architecture of it, it's like looking at a Frank Lloyd Wright building or a Lautner building, it's master craftsmanship. Every aspect of it intertwines in a perfectly harmonious way. That's what architecture is at its best and the architecture of my father's music is on that level. ~ Sean Lennon,
1302:The allure, huh?”
“I’ve learned a few things about castle architecture over the years.” Liv wraps one arm around my waist. “The allure is a passage behind the parapet of a castle wall. Great for defense when the enemy is approaching. You know you’re safe on the allure.” She tucks her head beneath my chin, twining her hand with mine. “Like we’re safe with each other.”
“No doubt about it, beauty.” I press my face against her sweet-smelling hair. “You’ll always be my allure. ~ Nina Lane,
1303:They should let some people into the library by prescription only. For serious, books about architecture are Denny's pornography. Yeah, first it's a few rocks. Then it's fan-tracery vaulting. My point is, this is America. You start out with hand jobs and progress to orgies. You smoke some dope and then, the big H. This is our whole culture of bigger, better, stronger, faster. The key word is progress. In America, if your addiction isn't always new and improved, you're a failure. ~ Anonymous,
1304:...trees to cool the towns in the boiling summer, trees to hold back the winter winds. There were so many things a tree could do: add color, provide shade, drop fruit, or become a children's playground, a whole sky universe to climb and hang from; an architecture of food and pleasure, that was a tree. But most of all the trees would distill an icy air for the lungs, and a gentle rustling for the ear when you lay nights in your snowy bed and were gentled to sleep by the sound. ~ Ray Bradbury,
1305:Within the human consciousness is the unique ability to perceive the transparency between absolute, permanent relationships, contained in the insubstantial forms of a geometric order, and the transitory, changing forms of our actual world. The content of our experience results from an immaterial, abstract, geometric architecture which is composed of harmonic waves of energy, nodes of relationality, melodic forms springing forth from the eternal realm of geometric proportion. ~ Robert Lawlor,
1306:Architecture of Time       Technical civilization is man’s conquest of space. It is a triumph frequently achieved by sacrificing an essential ingredient of existence, namely, time. In technical civilization, we expend time to gain space. To enhance our power in the world of space is our main objective. Yet to have more does not mean to be more. The power we attain in the world of space terminates abruptly at the borderline of time. But time is the heart of existence. ~ Abraham Joshua Heschel,
1307:The original AMD GCN architecture allowed for one source of graphics commands, and two sources of compute commands. For PS4, we've worked with AMD to increase the limit to 64 sources of compute commands - the idea is if you have some asynchronous compute you want to perform, you put commands in one of these 64 queues, and then there are multiple levels of arbitration in the hardware to determine what runs, how it runs, and when it runs, alongside the graphics that's in the system. ~ Mark Cerny,
1308:There is at the back of every artist’s mind something like a pattern and a type of architecture. The original quality in any man of imagination is imagery. It is a thing like the landscape of his dreams; the sort of world he would like to make or in which he would like to wander, the strange flora and fauna, his own secret planet, the sort of thing he likes to think about. This general atmosphere, and pattern or a structure of growth, governs all his creations, however varied. ~ G K Chesterton,
1309:The Grid makes the history of architecture and all previous lessons of urbanism irrelevant. It forces Manhattan's builders to develop a new system of formal values, to invent strategies for the distinction of one block from another. The Grid's two-dimensional discipline also creates undreamt-of freedom for three-dimensional anarchy. The Grid defines a new balance between control and de-control in which the city can be at the same time ordered and fluid, a metropolis of rigid chaos. ~ Rem Koolhaas,
1310:There are elements of intrinsic beauty in the simplification of a house built on the log cabin idea. First, there is the bare beauty of the logs themselves with their long lines and firm curves. Then there is the open charm felt of the structural features which are not hidden under plaster and ornament, but are clearly revealed, a charm felt in Japanese architecture....The quiet rhythmic monotone of the wall of logs fills one with the rustic peace of a secluded nook in the woods. ~ Gustav Stickley,
1311:We can now determine, easily and relatively cheaply, the detailed chemical architecture of genes ; and we can trace the products of these genes ( enzymes and proteins ) as they influence the course of embryology . In so doing we have made the astounding discovery that all complex animal phyla - arthropods and vertebrates in particular - have retained, despite their half-billion years of evolutionary independence, an extensive set of common genetic blueprints for building bodies. ~ Stephen Jay Gould,
1312:There is at the back of every artist’s mind something like a pattern and a type of architecture. The original quality in any man of imagination is imagery. It is a thing like the landscape of his dreams; the sort of world he would like to make or in which he would like to wander, the strange flora and fauna, his own secret planet, the sort of thing he likes to think about. This general atmosphere, and pattern or a structure of growth, governs all his creations, however varied. ~ Gilbert K Chesterton,
1313:[Mathematics] is security. Certainty. Truth. Beauty. Insight. Structure. Architecture. I see mathematics, the part of human knowledge that I call mathematics, as one thing - one great, glorious thing. Whether it is differential topology, or functional analysis, or homological algebra, it is all one thing. ... They are intimately interconnected, they are all facets of the same thing. That interconnection, that architecture, is secure truth and is beauty. That's what mathematics is to me. ~ Paul Halmos,
1314:All important architecture of the last century was strongly influenced by political systems. Look at the Soviet system, with its constructivism and Stalinism, Weimer with its Modern style, Mussolini and, of course, the Nazis and Albert Speer's colossal structures. Today's architecture is subservient to the market and its terms. The market has supplanted ideology. Architecture has turned into a spectacle. It has to package itself and no longer has significance as anything but a landmark. ~ Rem Koolhaas,
1315:It was all here for me, just as it has all been here for you, the best and the worst of Western Civilization, if you cared to pay attention: music, finance, government, architecture, law and sculpture and painting, history and medicine and athletics and every sort of science, and books, books, books, and teachers and role models.
People so smart you can’t believe it, and people so dumb you can’t believe it. People so nice you can’t believe it, and people so mean you can’t believe it. ~ Kurt Vonnegut,
1316:As she searched, she looked down at the fallen architecture and read the names graffitied on its sides. Gracus loves Lucinda. Ethan loves Sarah. Michael loves Erin. For what seemed like days she ran her fingers over the names carved into the fragmented bones of ruined loves, stepping around the broken pillars of unkept vows and dusting headstones in the graveyard of love with her hands. Every kind of death had a resting place in the dry lands.

She walked until her feet bled. ~ Josephine Angelini,
1317:But how has it happened that millions of fables, tales, legends, have been blended with both Jewish and Christian revelation that have made them the most bloody religion that ever existed? How has it happened that all the fine arts, architecture, painting, sculpture, statuary, music, poetry, and oratory, have been prostituted, from the creation of the world, to the sordid and detestable purposes of superstition and fraud?

[Letter to judge F.A. Van der Kamp, December 27, 1816.] ~ John Adams,
1318:Dancing is surely the most basic and relevant of all forms of expression. Nothing else can so effectively give outward form to an inner experience. Poetry and music exist in time. Painting and architecture are a part of space. But only the dance lives at once in both space and time. In it the creator and the thing created, the artist and the expression, are one. Each participates completely in the other. There could be no better metaphor for an understanding of the mechanics of the cosmos. ~ Lyall Watson,
1319:Narrative, fiction filmmaking is the culmination of several art forms: theater, art history, architecture. Whereas doc filmmaking is more pure cinema, like cinema verité is film in its purest form. You're taking random images and creating meaning out of random images, telling a story, getting meaning, capturing something that's real, that's really happening, and render this celluloid sculpture of this real thing. That's what really separates the power of doc filmmaking from fiction. ~ George Hickenlooper,
1320:For more than twenty years by my own work and personal initiative, I have gathered from all the old streets of Vieux Paris photographic plates, 18 x 24 format, artistic documents of the beautiful civil architecture of the 16th to the 19th century: the old hôtels, historic or curious houses, beautiful facades, beautiful doors, beautiful woodwork, door knockers, old fountains This vast artistic and documentary collection is today complete. I can truthfully say that I possess all of Vieux Paris. ~ Eugene Atget,
1321:Each hamlet or village or town should be a place, its own place. This is not a matter of fake historicism or artsy-craftsy architecture. It is a matter of respect for things existing, subtle patterns of place woven from vistas and street widths and the siting and color and scale of stores, houses, and trees... If the countryside is to prosper, it must be different from city or suburb... The difference is in part the simple business of containing our towns and giving them boundaries. ~ Robert Christopher Riley,
1322:It is not exactly any of these things. It is simply and rather astonishingly an enlarged version of a very common kind of California tract house, a monument not to colossal ego but to a weird absence of ego, a case study in the architecture of limited possibilities, insistently and malevolently “democratic,” flattened out, mediocre and “open” and as devoid of privacy or personal eccentricity as the lobby area in a Ramada Inn. It is the architecture of “background music,” decorators, “good taste. ~ Joan Didion,
1323:So here I stand before you preaching organic architecture: declaring organic architecture to be the modern ideal and the teaching so much needed if we are to see the whole of life, and to now serve the whole of life, holding no traditions essential to the great TRADITION. Nor cherishing any preconceived form fixing upon us either past, present or future, but-instead-exalting the simple laws of common sense-or of super-sense if you prefer-determining form by way of the nature of materials. ~ Frank Lloyd Wright,
1324:I think that Democrats have to think through answers we haven't in the past: How we are going to create those jobs? How should we restructure the entire tax code? Should we have things like a payroll tax, when jobs are so scarce? They weren't - basically the architecture of our employment law, tax law, all these things were from the 1930s - and I do think that one benefit of Donald Trump, which is not worth it, but one perverse thing is, he has widened the scope of things that we should discuss. ~ Neera Tanden,
1325:The strategy consists in extracting from the order achieved by past generations patterns that will help avoid disorder in one’s own mind. There is much knowledge—or well-ordered information—accumulated in culture, ready for this use. Great music, architecture, art, poetry, drama, dance, philosophy, and religion are there for anyone to see as examples of how harmony can be imposed on chaos. Yet so many people ignore them, expecting to create meaning in their lives by their own devices. ~ Mihaly Csikszentmihalyi,
1326:The English team’s revisions showed that the Cambrian had been a time of unparalleled innovation and experimentation in body designs. For almost four billion years life had dawdled along without any detectable ambitions in the direction of complexity, and then suddenly, in the space of just five or ten million years, it had created all the basic body designs still in use today. Name a creature, from a nematode worm to Cameron Diaz, and they all use architecture first created in the Cambrian party. ~ Bill Bryson,
1327:Executives need to understand the basic challenges of their current architecture and work to improve it over time. The build process needs to support managing different artifacts in the system as independent entities. Additionally, a solid, maintainable test automation framework needs to be in place so developers can trust the ability to quickly localize defects in their code when it fails. Until these fundamentals are in place, you will have limited success effectively transforming your processes. ~ Gary Gruver,
1328:Nature that framed us of four elements, Warring within our breasts for regiment, Doth teach us all to have aspiring minds: Our souls, whose faculties can comprehend The wondrous architecture of the world, And measure every wandering planet's course, Still climbing after knowledge infinite, And always moving as the restless spheres, Wills us to wear ourselves, and never rest, Until we reach the ripest fruit of all, That perfect bliss and sole felicity, The sweet fruition of an earthly crown. ~ Christopher Marlowe,
1329:Your rainbow panorama enters into a dialogue with the existing architecture and reinforces what is assured beforehand, that is to say the view of the city. I have created a space which virtually erases the boundaries between inside and outside – where people become a little uncertain as to whether they have stepped into a work or into a part of the museum. This uncertainty is important to me, as it encourages people to think and sense beyond the limits within which they are accustomed to moving. ~ Olafur Eliasson,
1330:Architecture is the masterly, correct and magnificent play of masses brought together in light. Our eyes are made to see forms in light; light and shade reveal these forms; cubes, cones, spheres, cylinders or pyramids are the great primary forms which light reveals to advantage; the image of these is distinct and tangible within us without ambiguity. It is for this reason that these are beautiful forms, the most beautiful forms. Everybody is agreed to that, the child, the savage and the metaphysician. ~ Le Corbusier,
1331:Error processing is turning out to be one of the thorniest problems of modern computer science, and you can't afford to deal with it haphazardly. Some people have estimated that as much as 90 percent of a program's code is written for exceptional, error-processing cases or housekeeping, implying that only 10 percent is written for nominal cases (Shaw in Bentley 1982). With so much code dedicated to handling errors, a strategy for handling them consistently should be spelled out in the architecture. ~ Steve McConnell,
1332:Athens, while remaining nominally independent, no longer commanded its lifelines or its fate. Just as it had invented many Western institutions and intellectual and artistic endeavors, so did it pioneer a less glorious tradition. In the centuries following the Peloponnesian war, Athens became the first in a long line of senescent Western empires to suffer the ignominious transformation from world power to open-air theme park, famous only for its arts, its architecture, its schools, and its past. ~ William J Bernstein,
1333:Architecture was, or is, a kind of hobby, an inclination I have to fiddling around and building things. Putting up shelves or cupboards, or making tools, or designing houses ... it always has a functional or social motivation. If social changes are in the air, I am gripped immediately by the desire to build, and I think that I accelerate or anticipate changes in my life by doing so, at least in draft. In the case of my house, that was anticipation: in other words, first build, then change one's life. ~ Gerhard Richter,
1334:The colossal labour Sri Aurobindo put forth to build this unique structure reminds me of one of those majestic ancient temples like Konarak or of a Gothic architecture like Notre Dame before which you stand and stare in speechless ecstasy, your soul takes a flight beyond time and space.

As it is, Savitri is, I suppose, the example par excellence of the future poetry he speaks of in his book The Future Poetry. Generation after generation will drink in its soul’s nectar from this perennial source. ~ Nirodbaran,
1335:The mullein had finished blooming, and stood up out of the pastures like dusty candelabra. The flowers of Queen Anne's lace had curled up into birds' nests, and the bee balm was covered with little crown-shaped pods. In another month -- no, two, maybe -- would come the season of the skeletons, when all that was left of the weeds was their brittle architecture. But the time was not yet. The air was warm and bright, the grass was green, and the leaves, and the lazy monarch butterflies were everywhere. ~ Elizabeth Enright,
1336:Art, to be fully appreciated, must be true to contemporaneous life. It is not that we should ignore the claims of posterity, but that we should seek to enjoy the present more. It is not that we should disregard the creations of the past, but that we should try to assimilate them into our consciousness. Slavish conformity to traditions and formulas fetters the expression of individuality in architecture. We can but weep over the senseless imitations of European buildings which one beholds in modern Japan. ~ Kakuz Okakura,
1337:Today, when so much seems to conspire to reduce life and feeling to the most deprived and demeaning bottom line, it is more important than ever that we receive that extra dimension of dignity or delight and the elevated sense of self that the art of building can provide through the nature of the places where we live and work. What counts more than style is whether architecture improves our experience of the built world; whether it makes us wonder why we never noticed places in quite this way before. ~ Ada Louise Huxtable,
1338:When we think of design, we usually imagine things that are chosen because they are designed. Vases or comic books or architecture... It turns out, though, that most of what we make or design is actually aimed at a public that is there for something else. The design is important, but the design is not the point. Call it "public design"... Public design is for individuals who have to fill out our tax form, interact with our website or check into our hotel room despite the way it's designed, not because of it. ~ Seth Godin,
1339:L'architecture arabe nous donne un enseignement précieux. Elle s'apprécie à la marche, avec le pied : c'est en marchant, en se déplaçant que l'on voit se développer les ordonnances de l'architecture. C'est un principe contraire à l'architecture baroque qui est conçue sur le papier, autour d'un point fixe théorique. Je préfère l'enseignement de l'architecture arabe. Dans cette maison ci, il s'agit d'une véritable promenade architecturale, offrant des aspects constamment variés, inattendus, parfois étonnants. ~ Le Corbusier,
1340:Denizens of the dark realms had no need for human form or architecture, but eons of interacting with humans and weaving in and out of the dreams of men had influenced the culture of the somnali. Mercy’s home did not have stairs or elevators, and was not entered using doors. It drifted with no perceivable pattern through the dark space, and so it had no address. Although it had the illusion of dimension, it had no permanent location, and could properly be viewed as a vehicle. One might say she had a mobile home. ~ Anonymous,
1341:In a 2006 article in Management Science, Alan MacCormack and Carliss Baldwin document an example of a product that successfully evolved from an integral to a modular architecture.21 When the software was put into the public domain as open source, the commercial firm that owned the copyright invested significant resources to make the transition. This was critical because the software could not have been maintained by distributed teams of volunteer developers if it had not been broken into smaller subsystems. ~ Geoffrey G Parker,
1342:Scientists like myself merely use their gifts to show up that which already exists, and we look small compared to the artists who create works of beauty out of themselves. If a good fairy came and offered me back my youth, asking me which gifts I would rather have, those to make visible a thing which exists but which no man has ever seen before, or the genius needed to create, in a style of architecture never imagined before, the great Town Hall in which we are dining tonight, I might be tempted to choose the latter. ~ Max Perutz,
1343:I think the first time you have to change code you’ve written previously, to add features or remove a bug, you realize that you could have done it better in the first place, that you could have found an architecture that would make it easier to transform and grow the code. And this is terribly seductive—you’re not just building a solution to a problem, you’re potentially building a beautiful solution, with ‘beautiful’ here being defined here by an aesthetics of present and future functionality. This can be a trap. ~ Vikram Chandra,
1344:Can you name a single one of the great fundamental and original intellectual achievements which have raise man in the scale of civilization that may be credited to the Anglo-Saxon? The art of letters, of poetry, of music, of sculpture, of painting, of the drama, of architecture; the science of mathematics, of astronomy, of philosophy, of logic, of physics, of chemistry, the use of the metals and principles of mechanics, were all invented or discovered by darker and what we now call inferior races and nations. ~ James Weldon Johnson,
1345:If the Edfu Texts contain a record of these events, as I have proposed, then we should take seriously the message they transmit, that there were survivors of the cataclysm who made it their mission to bring about: 'The resurrection of the former world of the gods. ... The re-creation of a destroyed world.'
These survivors are said to have wandered the earth, setting out and building sacred mounds wherever they went, and teaching the fundamentals of civilization, including religion, agriculture, and architecture. ~ Graham Hancock,
1346:I'm old-fashioned. I think William Blake and people in the Renaissance people were multi. Look at da Vinci, he was involved in science; and Michelangelo was dabbling in poetry. Both of them were painters and sculptors but they also involved themselves with architecture. I honestly don't know what happened in the '60s and '70s. If you sang rock and roll in America at that time or were involved in expressing yourself through music like that, then many thought you couldn't possibly be an artist. That thinking is archaic. ~ Patti Smith,
1347:For corporations to be bedfellows with the arts is good business for both. The architecture that houses a company is a more visible statement than the president's in the annual report. Ditto interiors, particularly of offices and sometimes, dramatically, in plants. For solvent businesses, support of community cultural undertakings in music, drama, dance creates great goodwill. Also, the existence of such activities is often important to the executives and their families that companies want to keep or attract to keep. ~ Malcolm Forbes,
1348:Architects, painters, and sculptors must recognize anew and learn to grasp the composite character of a building both as an entity and in its separate parts. Only then will their work be imbued with the architectonic spirit which it has lost as salon art. Together let us desire, conceive, and create the new structure of the future, which will embrace architecture and sculpture and painting in one unity and which will one day rise toward heaven from the hands of a million workers like the crystal symbol of a new faith. ~ Walter Gropius,
1349:Miss Kuhli (Merrihew had heard it “Cooley” the day before, and had built quite a different picture) was Eurasian. Not since the perfection of ferro-concrete and its self-stressed freedom has architecture been able to match the construction of such eyelids and supraorbital arches as those with which Miss Kuhli had been born. Her hands seemed to be the cooperative work of a florist and a choreographer. Her body had not been designed, but inspired, and her hair was such that it could not be believed at a single glance. ~ Theodore Sturgeon,
1350:They came to me because they had finally realized, after years of observation and experience, that the highest-paid personnel in engineering are frequently not those who know the most about engineering. One can, for example, hire mere technical ability in engineering, accountancy, architecture or any other profession at nominal salaries. But the person who has technical knowledge plus the ability to express ideas, to assume leadership, and to arouse enthusiasm among people—that person is headed for higher earning power. ~ Dale Carnegie,
1351:As John Adams famously wrote during the American Revolution, “I must study politics and war, that our sons may have liberty to study mathematics and philosophy. Our sons ought to study mathematics and philosophy, geography, natural history and naval architecture, navigation, commerce and agriculture in order to give their children a right to study painting, poetry, music, architecture, statuary, tapestry and porcelain.” So maybe today they’re writing apps rather than studying poetry, but that’s an adjustment for the age. ~ Fareed Zakaria,
1352:Once I passed through a populous city imprinting my brain for future use with its shows, architecture, customs, traditions, Yet now of all that city I remember only a woman I Casually met there who detained me for love of me, Day by day and night by night we were together—all else Has long been forgotten by me, I remember I say only that woman who passionately clung To me, Again we wander, we love, we separate again, Again she holds me by the hand, I must not go, I see her close beside me with silent lips sad and tremulous. ~ Walt Whitman,
1353:When most people looked at Josie Tyrell, they only saw a certain collection of bones, a selection of forms filling space. But Michael saw past the mouth and the eyes, the architecture of the body, her fleshly masquerade. Other boys were happy enough to enjoy the show, they just wanted to be entertained in the body's shadow theater. But Michael had to come backstage. He went down into the mines, into the dark, and brought up the gold, your new self, a better self. But what good was it if he was just going to leave her behind? ~ Janet Fitch,
1354:Architecture is essentially an extension of nature into the man-made realm, providing the ground for perception and the horizon of experiencing and understanding the world. It is not an isolated and self-sufficient artifact; it directs our attention and existential experience to wider horizons. Architecture also gives a conceptual and material structure to societal institutions, as well as to the conditions of daily life. It concretises the cycle of the year, the course of the sun and the passing of the hours of the day. ~ Juhani Pallasmaa,
1355:The general picture was that Ymir would not be anything like the traditional idea of a spaceship, in the sense of an orderly, symmetrical piece of architecture. It would be more like a flying robotic anthill, constructed out of a natural found object. The robots crawling around on and in it had general instructions as to what they were supposed to be doing, but could make their own judgments from moment to moment to avoid collision with other robots, or from hour to hour as to when they needed to recharge their batteries. ~ Neal Stephenson,
1356:But pass through Copula Hall and she or he might leave Beszel, and at the end of the hall come back to exactly (corporeally) where they had just been, but in another country, a tourist, a marvelling visitor, to a street that shared the latitude-longitude of their own address, a street they had never visited before, whose architecture they had always unseen, to the Ul Qoman house sitting next to and a whole city away from their own building, unvisible there now they had come through, all the way across the Breach, back home. ~ China Mi ville,
1357:I've always been interested, - if you look back at my work from the beginning, really - I've always been interested in the idea of the artificial landscape. Reforming the landscape. Architecture being a method of reforming the earth's surface. We reshape the earth's surface, from architecture to paving streets, to parking lots and buildings that are really reforming the surface of the earth. Reforming nature, taking over what we find. And we're mushing it around and remaking a new earth - or, what we used to call Terra Nova. ~ Lebbeus Woods,
1358:Dancing and building are the two primary and essential arts. The art of dancing stands at the source of all the arts that expressthemselves first in the human person. The art of building, or architecture, is the beginning of all the arts that lie outside the person; and in the end they unite. Music, acting, poetry proceed in the one mighty stream; sculpture, painting, all the arts of design, in the other. There is no primary art outside these two arts, for their origin is far earlier than man himself; and dancing came first. ~ Havelock Ellis,
1359:Harry knew very little about architecture but enough to know that Lucifer’s labors here had later inspired a whole architecture of the living world and their own Gothic creations. He’d been inside some of them on his travels around Europe, in the Cathedral of the Holy Cross and Santa Eulalia in Barcelona, in Bourdeaux Cathedral, and of course in Chartres Cathedral, where he’d once taken sanctuary, having just killed in the blizzard-blinded streets a demon who had been seducing infants to their deaths with corrupt nursery rhymes. ~ Clive Barker,
1360:The town was relatively small. Beyond the sad side was a side maybe five years from going sad. Maybe more. Maybe ten. There was hope. There were some boarded-up enterprises, but not many. Most stores were still doing business, at a leisurely rural pace. Big pick-up trucks rolled through, slowly. There was a billiard hall. Not many street lights. It was getting dark. Something about the architecture made it clear it was dairy country. The shape of the stores looked like old-fashioned milking barns. The same DNA was in there somewhere. ~ Lee Child,
1361:Until the first blow fell, no one was convinced that Penn Station really would be demolished, or that New York would permit this monumental act of vandalism against one of the largest and finest landmarks of its age of Roman elegance. Any city gets what it admires, will pay for, and, ultimately, deserves. Even when we had Penn Station, we couldn’t afford to keep it clean. We want and deserve tin-can architecture in a tinhorn culture. And we will probably be judged not by the monuments we build but by those we have destroyed ~ Ada Louise Huxtable,
1362:As Nicholas Kristof put it in The New York Times: “Cues of a hostile or indifferent environment flood an infant, or even a fetus, with stress hormones like cortisol in ways that can disrupt the body’s metabolism or the architecture of the brain. The upshot is that children are sometimes permanently undermined. Even many years later, as adults, they are more likely to suffer heart disease, obesity, diabetes and other physical ailments. They are also more likely to struggle in school, have short tempers and tangle with the law. ~ Arianna Huffington,
1363:He held a vast but carefully concealed distaste for all things American. Their incredible polytheistic babel of religions, of course, although they were hardly to be blamed for that . . . their cooking (cooking!!!), their manners, their bastard architecture and sickly arts . . . and their blind, pathetic, arrogant belief in their superiority long after their sun had set. Their women. Their women most of all, their immodest, assertive women, with their gaunt, starved bodies which nevertheless reminded him disturbingly of houris. ~ Robert A Heinlein,
1364:The architecture of a software system is the shape given to that system by those who build it. The form of that shape is in the division of that system into components, the arrangement of those components, and the ways in which those components communicate with each other.

The purpose of that shape is to facilitate the development, deployment, operation, and maintenance of the software system contained within it.

The strategy behind that facilitation is to leave as many options open as possible, for as long as possible ~ Robert C Martin,
1365:Standing before costly objects of technological beauty, we may be tempted to reject the possibility of awe, for fear that we could grow stupid through admiration. We may feel at risk of becoming overimpressed by architecture and engineering, of being dumbstruck by the Bombardier trains that progress driverlessly between satellites or by the General Electric GE90 engines that hang lightly off the composite wings of a Boeing 777 bound for Seoul. And yet to refuse to be awed at all might in the end be merely another kind of foolishness. ~ Alain de Botton,
1366:Hell is out of fashion - institutional hells at any rate. The populated infernos of the 20th century are more private affairs, the gaps between the bars are the sutures of one's own skull. A valid hell is one from which there is a possibility of redemption, even if this is never achieved, the dungeons of an architecture of grace whose spires point to some kind of heaven. The institutional hells of the present century are reached with one-way tickets, marked Nagasaki and Buchenwald, worlds of terminal horror even more final than the grave. ~ J G Ballard,
1367:[...] Thus the sedentary peoples create the plastic arts (architecture, sculpture, painting), the arts consisting of forms developed in space; the nomads create the phonetic arts (music, poetry), the arts consisting of forms unfolded in time; for, let us say it again, all art is in its origin essentially symbolical and ritual, and only through a late degeneration, indeed a very recent degeneration, has it lost its sacred character so as to become at last the purely profane 'recreation' to which it has been reduced among our contemporaries. ~ Ren Gu non,
1368:when modern philosophy began to devote itself to the study of logic and rationality, it gradually lost interest in psychology and lost touch with the passionate, contextualized nature of human life. It is impossible to analyze “the meaning of life” in the abstract, or in general, or for some mythical and perfectly rational being. Only by knowing the kinds of beings that we actually are, with the complex mental and emotional architecture that we happen to possess, can anyone even begin to ask about what would count as a meaningful life. ~ Jonathan Haidt,
1369:Which kinds of decisions are premature? Decisions that have nothing to do with the business requirements—the use cases—of the system. These include decisions about frameworks, databases, web servers, utility libraries, dependency injection, and the like. A good system architecture is one in which decisions like these are rendered ancillary and deferrable. A good system architecture does not depend on those decisions. A good system architecture allows those decisions to be made at the latest possible moment, without significant impact. ~ Robert C Martin,
1370:I must study politics and war," wrote John Adams, "that my sons may have the liberty to study mathematics, and philosophy, geography, natural history, and naval architecture, navigation, commerce, and agriculture, in order to give their children the right to study painting, poetry, music, architecture, statuary, tapestry, and porcelain." Adams saw clearly that politics is the indispensable foundation for things elegant and beautiful. First and above all else, you must secure life, liberty and the right to pursue your own happiness. ~ Charles Krauthammer,
1371:I think it modern society as a whole, but definitively in Brazil, spaces are so well divided and there are so many barriers, and so many divisions, so many lines and so many borderlines, basically telling you that you should be here but not here. This is my space and this is your space, and this is expressed very dramatically in architecture, we have a very kind of aggressive, almost medieval concept for architecture, which is basically keeping people out. So you get high walls, fences, and electric fences, and divisions like that. ~ Kleber Mendonca Filho,
1372:TAMBURLAINE: Nature, that fram'd us of four elements
Warring within our breasts for regiment,
Doth teach us all to have aspiring minds.
Our souls, whose faculties can comprehend
The wondrous architecture of the world,
And measure every wandering planet's course,
Still climbing after knowledge infinite,
And always moving as the restless spheres,
Wills us to wear ourselves and never rest,
Until we reach the ripest fruit of all,
That perfect bliss and sole felicity,
The sweet fruition of an earthly crown. ~ Christopher Marlowe,
1373:The concept of 'purgatory' is in Catholic Church dogma, and most black people are not Catholic - mostly their Christian realities focus on heaven or hell. Purgatory is for the expiation of sin, the fact that you are there, and not in hell, means you'll eventually work your way to heaven. The experience of this play, 'Small oak tree', and its psychological architecture, relies on its knowledge of that. Many black people believe that this life, within itself, is a way to work out whatever obligations we have, in order to get to a better place. ~ Harry Lennix,
1374:Toxic stress response can occur when a child experiences strong, frequent, and/or prolonged adversity—such as physical or emotional abuse, neglect, caregiver substance abuse or mental illness, exposure to violence, and/or the accumulated burdens of family economic hardship—without adequate adult support. This kind of prolonged activation of the stress-response systems can disrupt the development of brain architecture and other organ systems, and increase the risk for stress-related disease and cognitive impairment, well into the adult years. ~ Nadine Burke Harris,
1375:When a building is so complete within itself, I always think, "Why do I even have to go inside it?" I would love to do architecture that people can have a free hand in the making of it. We've done spaces where things are hinged and they can go out or in, but that's not freedom. That's supermarket freedom, or the notion that you can have anything you want as long as the supermarket carries it. We would love to do a space where you go inside and there's nothing there. You might have a seat and when you don't need it anymore you get up and it disappears. ~ Vito Acconci,
1376:Las Vegas suggests that the thirst for places, for cities and gardens and wilderness, is unslaked, that people will still seek out the experience of wandering about in the open air to examine the architecture, the spectacles, and the stuff for sale, will still hanker after surprises and strangers. That the city as a whole is one of the most pedestrian-unfriendly places in the world suggests something of the problems to be faced, but that its attraction is a pedestrian oasis suggests the possibility of recovering the spaces in which walking is viable. ~ Rebecca Solnit,
1377:The world hates the story Christians tell. It no longer believes in “sin.” It doesn’t understand the forgiveness of sinners. It finds the ideas of a personal God, immortality, grace, miracles, the Incarnation, the Resurrection, and the whole architecture of the sacraments and the “supernatural” more and more implausible. It sneers at the restraints the Gospel places on appetites and ego. And in place of the Christian narrative of history, it lowers the human horizon to a relentless now of distractions, desires, and suppressed questions about meaning ~ Charles J Chaput,
1378:The sea-lentils tied to giant serpentine string beans, sea-liquor brine, sea-lyme grass, sea-moss, sea-cucumbers. He never knew the sea had such a lavish garden—sea-plumes, sea-grapes, sea-lungs. […] The sky put on its own evanescent spectacles, a pivoting stage, fugitive curtains, decors for ballets, floating icebergs, unrolled bolts of chiffon, gold and pearl necklaces, marabous of oyster white, scarves of Indian saris, flying feathers, shorn lambs, geometric architecture in snows and cotton. His theater was the clouds, where no spectacle repeated itself. ~ Ana s Nin,
1379:Drenched in café au lait stucco, the mall was bordered by an example of America’s most unique architectural contribution to the world, a parking lot. Some bemoan the brutalism of socialist architecture, but was the blandness of capitalist architecture any better? One could drive for miles and see nothing but parking lots and the kudzu of strip malls catering to every need, from pet shops to water dispensaries to ethnic restaurants and every other imaginable category of mom-and-pop small business, each one an advertisment for the pursuit of happiness. ~ Viet Thanh Nguyen,
1380:Once I passed through a populous city imprinting my
brain for future use with its shows, architecture,
customs, traditions,
Yet now of all that city I remember only a woman I
Casually met there who detained me for love of me,
Day by day and night by night we were together—all else
Has long been forgotten by me,
I remember I say only that woman who passionately clung
To me,
Again we wander, we love, we separate again,
Again she holds me by the hand, I must not go,
I see her close beside me with silent lips sad and tremulous. ~ Walt Whitman,
1381:What's your story? It's all in the telling. Stories are compasses and architecture; we navigate by them, and to be without a story is to be lost in the vastness of world that spreads in all directions like arctic tundra or sea ice. To love someone is to put yourself in their place, we say, which is to put yourself in their story, or figure out how to tell yourself their story. Which means that a place is a story, and stories are geography, and empathy is first of all an act of imagination, a storyteller's art, and then a way of traveling from here to there. ~ Rebecca Solnit,
1382:The so-called Christian nations are the most enlightened and progressive ... but in spite of their religion, not because of it. The Church has opposed every innovation and discovery from the day of Galileo down to our own time, when the use of anesthetic in childbirth was regarded as a sin because it avoided the biblical curse pronounced against Eve. And every step in astronomy and geology ever taken has been opposed by bigotry and superstition. The Greeks surpassed us in artistic culture and in architecture five hundred years before Christian religion was born. ~ Mark Twain,
1383:I used to believe that design was information architecture, and also that this architecture was built in the brain of an information recipient. Recently I've come to think that, although the materials of that architecture's construction are indeed the information brought from the outside by the sensory organs, at the same time some very important building blocks are also the recollected experiences, the memories, awakened by these external stimuli. People imagine the world and interpret it when outside stimuli awaken the mountain of their internally stored memories. ~ Kenya Hara,
1384:The science of government it is my duty to study, more than all other sciences; the arts of legislation and administration and negotiation ought to take the place of, indeed exclude, in a manner, all other arts. I must study politics and war, that our sons may have liberty to study mathematics and philosophy. Our sons ought to study mathematics and philosophy, geography, natural history and naval architecture, navigation, commerce and agriculture in order to give their children a right to study painting, poetry, music, architecture, statuary, tapestry and porcelain. ~ John Adams,
1385:In a fragment of a second you can understand: Things you know, things you don’t know, things you don’t know that you don’t know, conscious, unconscious, things which in a fragrant of a second you can react to: we can all imagine why this capacity was given to us as human beings - I guess to survive. Architecture to me has the same kind of capacity. It takes longer to capture, but the essence to me is the same. I call this atmosphere. When you experience a building and it gets to you. It sticks in your memory and your feelings. I guess thats what I am trying to do. ~ Peter Zumthor,
1386:Beliefs constitute the basic stratum, that which lies deepest, in the architecture of our life. By them we live, and by the same token we rarely think of them.... One may symbolize the individual life as a bank of issue. The bank lives on the credit of a gold reserve which is rarely seen, which lies at the bottom of metal coffers hidden in the vaults of the building. The most elementary caution will suggest that from time to time the effective condition of these guaranties--of these credences, one might say, that are the basis of credit--be passed in review. ~ Jose Ortega y Gasset,
1387:When he started to build at Tivoli Hadrian may have been motivated by the idea of extending the much simpler villa which was already owned by Sabina’s family in a manner he considered appropriate to his new status. No doubt the design evolved over time and was very different in its final state from what had been intended at its inception. Certainly its collections were enriched by the emperor’s travels; by the time the villa’s 900 rooms were completed, it was one of the most extraordinary contributions to art and architecture that the Roman world had ever seen. ~ Elizabeth Speller,
1388:We will actively manage this technical debt by ensuring that we invest at least 20% of all Development and Operations cycles on refactoring, investing in automation work and architecture and non-functional requirements (NFRs, sometimes referred to as the “ilities”), such as maintainability, manageability, scalability, reliability, testability, deployability, and security. Figure 11: Invest 20% of cycles on those that create positive, user-invisible value (Source: “Machine Learning and Technical Debt with D. Sculley,” Software Engineering Daily podcast, November 17, 2015, ~ Gene Kim,
1389:in one of the most famous papers of the twentieth century, ‘The Architecture of Complexity’, Simon wrote that the central theme that runs through my remarks is that complexity frequently takes the form of hierarchy, and that hierarchic systems have some common properties that are independent of their specific content. Hierarchy, I shall argue, is one of the central structural schemes that the architect of complexity uses. And also: I have already given an example of one kind of hierarchy that is frequently encountered in the social sciences: a formal organisation. ~ Daniel L Everett,
1390:Architecture
The architecture of an aunt
Made the child dream of cupolas,
Domes, other smoothly rounded shapes.
Geometries troubled his sleep.
The architecture of young women
Mildly obsessed the young man:
Its globosity, firmness, texture,
Lace cobwebs for adornment and support.
Miles from his aunt, the old child
Watched domes and cupolas defaced
In a hundred countries, as time passed.
A thousand kilometres of lace defiled,
And much gleaming and perfect architecture
Flaming in the fields with no visible support.
~ Dom Moraes,
1391:No, this architecture in Council Bluffs and Omaha, this whole deeply embedded psychology of the use of space, simply conveys that there is a lot of it. There is no need to make things smaller. That is the American condition, a source of its optimism and its unfriendliness to elites and aristocracies of all kinds, which requires constraints on space in order to increase the value of their land - which then affords them their social position. This was a crucial difference between the Old World and the New. Virtually unlimited space is the essence of the frontier mentality. ~ Robert D Kaplan,
1392:I try to just put a blank stage in front of them, and say, "This is your space; you tell me where you're coming from and where you're going." At a certain point, it was interesting as the project started to become what it is now, The Source, which has a physical installation and also an online presence. As we started building the installation, I started thinking, "It's really strange that we're building this installation, this piece of architecture you can go into." It's almost strange because I suppose it's an artwork, but it's an artwork that's really constructed out of ideas. ~ Doug Aitken,
1393:If it happens that the human race doesn't make it, then the fact that we were here once will not be altered, that once upon a time we peopled this astonishing blue planet, and wondered intelligently at everything about it and the other things who lived here with us on it, and that we celebrated the beauty of it in music and art, architecture, literature, and dance, and that there were times when we approached something godlike in our abilities and aspirations. We emerged out of depthless mystery, and back into mystery we returned,and in the end the mystery is all there is. ~ James Howard Kunstler,
1394:On the collective level, we incubate our society through the generations within cultural shells. In what ways do these fuzzy matrices of technology, language, art, science, and architecture, and of values, laws, and myths, separate us too harshly from nature? In what ways do they connect us to nature via bridges unachievable without such sheltering from the storms of time’s brutal uncertainties? The inquiries out into nature we have launched from such shells reveal new borders that serve as paradigms for the next stages of cultural evolution. ~ Tyler Volk, Metapatterns - Across Space, Time, and Mind,
1395:The art and architecture of the past that we know is that which remains. The best is that which remains where it was painted, placed or built. Most of the art of the past that could be moved was taken by conquerors. Almost all recent art is conquered as soon as it's made, since it's first shown for sale and once sold is exhibited as foreign in the alien museums. The public has no idea of art other than that it is something portable that can be bought. There is no constructive effort; there is no cooperative effort. This situation is primitive in relation to a few earlier and better times. ~ Donald Judd,
1396:Enoch learned that his preconceived notions of a people were completely wrong. He had thought the Adamite cave dwellers were primitive ignorant natives, only to learn they were a spiritually profound elite who taught him the secret ways of Elohim. Then he had believed the rumors and gossip about the Thamudi being a savage clan of barbarians, only to be sitting in front of them now in their homes of incomparable architecture having his own ignorance enlightened by their compassionate explanation of current events. Even the interiors of these rock palaces were exquisitely designed and carved. ~ Brian Godawa,
1397:As it recurred again and again, it set me thinking of what my architect's books say about the custom in early times to consecrate the choir as soon as it was built, and that the nave, being finished sometimes half a century later, often did not get any blessing at all: I wondered idly if that had been the case at St. Barnabe, and whether something not usually supposed to be at home in a Christian church, might have entered undetected, and taken possession of the west gallery. I had read of such things happening too, but not in works on architecture.

("In The Court Of The Dragon") ~ Robert W Chambers,
1398:Twenty minutes later, Three Body’s Von Neumann architecture human-formation computer had begun full operations under the Qin 1.0 operating system. “Run solar orbit computation software ‘Three Body 1.0’!” Newton screamed at the top of his lungs. “Start the master computing module! Load the differential calculus module! Load the finite element analysis module! Load the spectral method module! Enter initial condition parameters … and begin calculation!” The motherboard sparkled as the display formation flashed with indicators in every color. The human-formation computer began the long computation. ~ Liu Cixin,
1399:As strange as it may seem, this decision is one of the options that a good architect leaves open. A system that is written as a monolith, and that depends on that monolithic structure, cannot easily be upgraded to multiple processes, multiple threads, or micro-services should the need arise. By comparison, an architecture that maintains the proper isolation of its components, and does not assume the means of communication between those components, will be much easier to transition through the spectrum of threads, processes, and services as the operational needs of the system change over time. ~ Robert C Martin,
1400:I followed the other Experiment around, yesterday afternoon, at a distance, to see what it might be for, if I could. But I was not able to make [it] out. I think it is a man. I had never seen a man, but it looked like one, and I feel sure that that is what it is. I realize that I feel more curiosity about it than about any of the other reptiles. If it is a reptile, and I suppose it is; for it has frowzy hair and blue eyes, and looks like a reptile. It has no hips; it tapers like a carrot; when it stands, it spreads itself apart like a derrick; so I think it is a reptile, though it may be architecture. ~ Mark Twain,
1401:The second is that some things become true simply by being spoken. When she said to the little girl “I’m here for you”, the architecture of her mind, her definition of herself, shifted and reconfigured around that statement. She became committed, or maybe just acknowledged a commitment. It has nothing to do with guilt for earlier crimes (although she has a pretty fair understanding of what she deserves), or any hope of redemption. It’s just the outermost point on an arc. She’s risen as far as she can, and now she’s falling again, no longer in control (if she ever was to start with) of her own movements. ~ M R Carey,
1402:What holds us back in life is the invisible architecture of fear. It keeps us in our comfort zones, which are, in truth, the least safe places in which to live. Indeed, the greatest risk in life is taking no risks. But every time we do that which we fear, we take back the power that fear has stolen from us—for on the other side of our fears lives our strength. Every time we step into the discomfort of growth and progress, we become more free. The more fears we walk through, the more power we reclaim. In this way, we grow both fearless and powerful, and thus are able to live the lives of our dreams. ~ Robin S Sharma,
1403:The Greek Mysteries included in their doctrines a magnificent concept of the relationship existing between music and form. The elements of architecture, for example, were considered as comparable to musical modes and notes, or as having a musical counterpart. Consequently when a building was erected in which a number of these elements were combined, the structure was then likened to a musical chord, which was harmonic only when it fully satisfied the mathematical requirements of harmonic intervals. The realization of this analogy between sound and form led Goethe to declare that "architecture is crystallized music.",
1404:There was a time when I experienced architecture without thinking about it. Sometimes I can almost feel a particular door handle in my hand, a piece of metal shaped like the back of a spoon. I used to take hold of it when I went into my aunt's garden. That door handle still seems to me like a special sign of entry into a world of different moods and smells. I remember the sound of the gravel under my feet, the soft gleam of the waxed oak staircase, I can hear the heavy front door closing behind me as I walk along the dark corridor and enter the kitchen, the only really brightly lit room in the house. ~ Peter Zumthor,
1405:In the words of an interpreter, he states that the social and imaginative function of cities “is under threat from the tyranny of bad architecture, soulless planning and indifference to the basic unit of urban language, the street, and the ‘ruissellement de paroles’ (stream of words), the endless stories, which animate it. Keeping the street and the city alive depends on understanding their grammar and generating the new utterances on which they thrive. And for Bailly, the principal agency of this process is walking, what he calls the ‘grammaire generative de jambes’ (generative grammar of the legs). ~ Rebecca Solnit,
1406:Civilization Number 184 was destroyed by the stacked gravitational attractions of a tri-solar syzygy. This civilization had advanced to the Scientific Revolution and the Industrial Revolution. In this civilization, Newton established nonrelativistic classical mechanics. At the same time, due to the invention of calculus and the Von Neumann architecture computer, the foundation was set for the quantitative mathematical analysis of the motion of three bodies. After a long time, life and civilization will begin once more, and progress through the unpredictable world of Three Body. We invite you to log on again. ~ Liu Cixin,
1407:The Bretton Woods saga unfurled at a unique crossroads in modern history. An ascendant anticolonial superpower, the United States, used its economic leverage over an insolvent allied imperial power, Great Britain, to set the terms by which the latter would cede its dwindling dominion over the rules and norms of foreign trade and finance. Britain cooperated because the overriding aim of survival seemed to dictate the course. The monetary architecture that Harry White designed, and powered through an international gathering of dollar-starved allies, ultimately fell, its critics agree, of its own contradictions. ~ Benn Steil,
1408:With a mixture of naïveté and British arrogance, she had always thought of London as the center of all culture and knowledge, but Paris was a revelation. The city was astonishingly modern, making London look like a dowdy country cousin. And yet for all its intellectual and social advancements, the streets of Paris were nearly medieval in appearance; dark, narrow and crooked as they twined through arrondissements of artfully shaped buildings. It was a messy, delightful assault to the senses, with architecture that ranged from the gothic spires of ancient churches to the solid grandeur of the Arc de Triomphe. ~ Lisa Kleypas,
1409:The body count alone marks the plantation as a sacred place, and yet that's not what hallows the grounds to most. Traditionally, the plantation is a place where architecture and windows and wallpaper are lauded but the bodies who put them up are not. It is still marketed as the crux of the Old South, a place of manners, gentility, custom, and tradition; the South's cultural apogee. It is where much of Southern culture was born, and that includes much of Southern food, and it is the place where, by and large, black America was born - and that's precisely why I use the plantation as a place of reclamation. ~ Michael W Twitty,
1410:L'architecture arabe, la plus mathématique qui soit. Une maison arabe est mesurée au pas des jambes, à la hauteur des épaules. Les patios et chambrettes sont dimensionnées à la calme mesure des pas, et les hauteurs du tout sont celles qu'estime une tête portée sur des épaules : colonne à la hauteur d'épaule, et avec au dessus, passage de tête. Dans l'architecture arabe, on marche. Marcher là dedans est une fonction digne. La ville européenne peut tirer un enseignement décisif, non qu'il s'agisse d'annoncer un glossaire d'ornements arabes, mais bien de discerner l'essence même d'une architecture et d'un urbanisme. ~ Le Corbusier,
1411:It has been said that the body is the temple of the spirit and the mind is the altar within that temple. When we practice hatha yoga we allow ourselves to come fully into the temple of the body—not simply as a tourist wishing to admire the fine architecture, but as a seeker on a pilgrimage of deep devotion and reverence.

Meditation is the devotional practice of placing on the altar of the mind that which is sacred, holy, and revered. Just as you would not place garbage on the altar of a great temple, meditation allows a yogi to place on the altar of her mind that which is noble, pure, and free from attachment. ~ Darren Main,
1412:I received the fundamentals of my education in school, but that was not enough. My real education, the superstructure, the details, the true architecture, I got out of the public library. For an impoverished child whose family could not afford to buy books, the library was the open door to wonder and achievement, and I can never be sufficiently grateful that I had the wit to charge through that door and make the most of it. Now, when I read constantly about the way in which library funds are being cut and cut, I can only think that the door is closing and that American society has found one more way to destroy itself. ~ Isaac Asimov,
1413:Except for practices that incorporate design as the way they practice—for example, architecture and engineering—the art of design is not incorporated into students’ experiences in schools, despite its superiority in many situations, even to such analytical problem solving as scientists employ. The power of design as an instrument of learning is almost completely overlooked by the educational system. For example, the best way to learn how an automobile (or any other mechanism) works and to gain understanding of why it works the way it does is to design one. Moreover, it is in design that people learn what they want. ~ Russell L Ackoff,
1414:Greeks and Romans were anti-Mediterranean cultures, in the sense of being at odds with much of the political heritages of Persia, Egypt, and Phoenicia. While Hellenism was influenced—and enriched—at times by Near Eastern, Egyptian, and Persian art, literature, religion, and architecture, its faith in consensual government and free markets was unique. Greek and Latin words for “democracy,” “republic,” “city-state,” “constitution,” “freedom,” “liberty,” and “free speech” have no philological equivalents in other ancient languages of the Mediterranean (and few in the contemporary languages of the non-West as well). ~ Victor Davis Hanson,
1415:How many understand that Nature is the essencial character of whatever is. It's something you'll find by looking not at, but in, always in. It's always inside the thing, and it makes the outside. And some day, when you get sufficiently proficient in understanding the use of the term, you can tell by the outside pretty much from what's inside.
[...] But everything that's ever going to be of use to you in architecture or in life or anywhere you go or whatever you do is going to be Nature, in some of its immensely varied forms. So varied that there's no end to the variety imaginable.

"Nature" September 7, 1958 ~ Frank Lloyd Wright,
1416:Conceptual integrity does require that a system reflect a single philosophy and that the specification as seen by the user flow from a few minds. Because of the real division of labor into architecture, implementation, and realization, however, this does not imply that a system so designed will take longer to build. Experience shows the opposite, that the integral system goes together faster and takes less time to test. In effect, a widespread horizontal division of labor has been sharply reduced by a vertical division of labor, and the result is radically simplified communications and improved conceptual integrity. ~ Frederick P Brooks Jr,
1417:For it to be successful, the architect must • remember that the builder has the inventive and creative responsibility for the implementation; so the architect suggests, not dictates; • always be prepared to suggest a way of implementing anything he specifies, and be prepared to accept any other way that meets the objectives as well; • deal quietly and privately in such suggestions; • be ready to forego credit for suggested improvements. Normally the builder will counter by suggesting changes to the architecture. Often he is right—some minor feature may have unexpectedly large costs when the implementation is worked out. ~ Frederick P Brooks Jr,
1418:Like the legends of Kon-Tiki Viracocha [...], the South American civilizing hero, white-skinned and bearded like Quetzalcoatl and the Apkallu sages [...], who was said to have come to the Andes during a terrifying period, thousands of years in the past, "when the earth had been inundated by a great flood and plunged into darkness by the disappearance of the sun." (Exactly like Quetzalcoatl in Mexico, and the Apkallu sages in Mesopotamia, Viracocha's civilizing mission in the Andes had been to bring laws and a moral code to the survivors of the disaster, and to teach them the skills of agriculture, architecture and engineering. ~ Graham Hancock,
1419:There is scarcely any great author in European literature, old or new, who has not distinguished himself in his treatment of the supernatural. In English literature, I believe there is no exception from the time of the Anglo-Saxon poets to Shakespeare, and from Shakespeare to our own day. And this introduces us to the consideration of a general and remarkable fact, a fact that I do not remember to have seen in any books, but which is of very great philosophical importance: there is something ghostly in all great art, whether of literature, music, sculpture, or architecture. It touches something within us that relates to infinity ~ Lafcadio Hearn,
1420:In technology, as in writing or speech-or haute cuisine-there are varying degrees of fluency, of articulateness, of self-expression. A beginning practitioner in architecture, like a beginner at a foreign language, will use the same base combinations-the same phrases-over and over, even if not quite appropriate. A practiced architect, steeped in the art of the domain, will have discarded any notion of the grammar as pure rules, and will use instead an intuitive knowledge of what fits together. And a true master will push the envelope, will write poetry in the domain, will leave his or her "signature" in the habit-combinations used. ~ W Brian Arthur,
1421:Second letter: Embrace your fear

What holds us back in life is the invisible architecture of fear. It keeps us in our comfort zones, which are, in truth, the least safe place in which to live. Indeed the greatest risk in life is taking no risk. But every time we do that which we fear , we take back the power that fear has stolen from us - for on the other side of fear lives our strength. Every time we step into the discomfort of growth and progress, we become more free. The more fears we walk through, the more power we reclaim. In this way, we grow both fearless and powerful, and thus are able to live the lives of our dreams. ~ Robin S Sharma,
1422:John von Neumann (/vɒn ˈnɔɪmən/; Hungarian: Neumann Janos Lajos, pronounced [ˈnɒjmɒn ˈjaːnoʃ ˈlɒjoʃ]; December 28, 1903 - February 8, 1957) was a Hungarian-American mathematician, physicist, inventor, computer scientist, and polymath. He made major contributions to a number of fields, including mathematics (foundations of mathematics, functional analysis, ergodic theory, geometry, topology, and numerical analysis), physics (quantum mechanics, hydrodynamics, and quantum statistical mechanics), economics (game theory), computing (Von Neumann architecture, linear programming, self-replicating machines, stochastic computing), and statistics.
   ~ Wikipedia,
1423:At sunset above the plains of Kwaalon, on a dark, high terrace balanced on a glittering black swirl of architecture forming a relatively microscopic part of the equatorial Girdlecity of Xown, Vyr Cossont – Lieutenant Commander (reserve) Vyr Cossont, to give her her full title – sat, performing part of T. C. Vilabier’s 26th String-Specific Sonata For An Instrument Yet To Be Invented, catalogue number MW 1211, on one of the few surviving examples of the instrument developed specifically to play the piece, the notoriously difficult, temperamental and tonally challenged Antagonistic Undecagonstring – or elevenstring, as it was commonly known. ~ Iain M Banks,
1424:In the quest to create “safe schools,” students have become demoralized and criminalized. The presence of metal detectors, surveillance cameras, drug-sniffing dogs, harsh ticketing policies, and prison-inspired architecture has created a generation of students, usually poor and of color, who are always under surveillance and always under suspicion. These modes of controlling spaces and the youth within them normalize expectations of criminality, often fulfilled when everyday violations of school rules lead to ticketing, suspension, or worse, court summons and eventual incarceration—a direct path into the criminal justice system.… ~ Patrisse Khan Cullors,
1425:Love’s Not The Way To Treat a Friend"

Love’s not the way to treat a friend.
I wouldn’t wish that on you. I don’t
want to see your eyes forgotten
on a rainy day, lost in the endless purse
of those who can remember nothing.

Love’s not the way to treat a friend.
I don’t want to see you end up that way
with your body being poured like wounded
marble into the architecture of those who make
bridges out of crippled birds.

Love’s not the way to treat a friend.
There are so many better things for you
than to see your feelings sold
as magic lanterns to somebody whose body
casts no light. ~ Richard Brautigan,
1426:In the three decades after World War II, we saw a movement to elevate culture for the masses. The middlebrow consensus, we could say, tracked with the upheaval of the modern movement in art, architecture, literature, and music. It meant publication of paperbacks of classic novels, the Great Books push, Leonard Bernstein on television, Thelonious Monk on the cover of Time, an expanding English major in colleges and universities, and so on. These days, it all seems like ancient history. Do we have a new, fruitful way to think about culture that goes beyond midcentury middlebrow? 2. If, as children, people don’t learn to love fiction, music of a ~ Anonymous,
1427:Man in his upended street must know he is becoming a mere numerical item of convenience; on the way to being a thing. His inherent instinct for love and beauty is not only becoming suspect but, in spite of all intent, useless to society. He sees the human creature atrophy as he sees poverty of imagination in much "modern art," so-called. But it was Walt Whitman himself who raised the perpendicular hand to declare: "It is provided in the essence of things that from any fruition of success no matter what, shall come forth something to make a greater struggle necessary." This is what is now coming forth in our architecture as in our life. ~ Frank Lloyd Wright,
1428:What I miss is the feeling that nothing has started yet, that the future towers over the past, that the present is merely a planning phase for the gleaming architecture that will make up the skyline of the rest of my life. But what I forget is the loneliness of all that. If everything is ahead then nothing is behind. You have no ballast. You have no tailwinds either. You hardly ever know what to do, because you’ve hardly done anything. I guess this is why wisdom is supposed to be the consolation prize of aging. It’s supposed to give us better things to do than stand around and watch in disbelief as the past casts long shadows over the future. ~ Meghan Daum,
1429:If you think about it, the world around us, including the world in our computers, is all about trying to tempt us to do things right now. Take Facebook, for example. Do they want you to be more productive twenty years from now? Or do they want to take your time, attention, and money right now? The same thing goes for YouTube, online newspapers, and so on. The basic combination of these three things: (1) that the world around us tries to tempt us; (2) that we listen to the world around us (e.g., choice architecture); and (3) that we don’t deal very well with temptation… if you put all of those things together, you have a recipe for disaster. ~ Jocelyn K Glei,
1430:Francie thought that all the books in the world were in that library and she had a plan about reading all the books in the world. She was reading a book a day in alphabetical order and not skipping the dry ones. She remembered that the first author had been Abbott. She had been reading a book a day for a long time now and she was still in the B’s. Already she had read about bees and buffaloes, Bermuda vacations and Byzantine architecture. For all of her enthusiasm, she had to admit that some of the B’s had been hard going. But Francie was a reader. She read everything she could find: trash, classics, time tables and the grocer’s price list. Some ~ Betty Smith,
1431:She remembered reading how the American South had often compared itself to Rome back before the Civil War. In the old days their society had been all about impressive architecture, honor, and codes of chivalry. And on the evil side, it had also been about slavery. Rome had slaves, some Southerners had argued, so why shouldn’t we?
Annabeth shivered. She loved the architecture here. The houses and the gardens were very beautiful, very Roman. But she wondered why beautiful things had to be wrapped up with evil history. Or was it the other way around? Maybe the evil history made it necessary to build beautiful things, to mask the darker aspects. ~ Rick Riordan,
1432:The explanation of this perennial quality of Arabic is to be found simply in the conserving role of nomadism. It is in towns that languages decay, by becoming worn out, the things and institutions they designate. Nomads, who live to some extent outside time, conserve their language better; it is, moreover, the only treasure they can carry around with them in their pastoral existence; the nomad is a jealous guardian of his linguistic heritage, his poetry and his rhetorical art. On the other hand, his inheritance in the way of visual art cannot be rich; architecture presupposes stability, and the same is broadly true of sculpture and painting. ~ Titus Burckhardt,
1433:From the flat red sea of sand rose great rock mesas, generally Gothic in outline, resembling vast cathedrals. They were not crowded together in disorder, but placed in wide spaces, long vistas between. This plain might once have been an enormous city, all the smaller quarters destroyed by time, only the public buildings left—piles of architecture that were like mountains. The sandy soil of the plain had a light sprinkling of junipers, and was splotched with masses of blooming rabbit brush—that olive-coloured plant that grows in high waves like a tossing sea, at this season covered with a thatch of bloom, yellow as gorse, or orange like marigolds. ~ Willa Cather,
1434:There is no such thing as a natural disaster. In earthquakes the architecture fails. If you’re out in a grassy meadow, it doesn’t matter how big the earthquake is: it might knock you down, but if nothing falls on top of you and nothing catches fire from broken gas mains or power lines, then you’re probably okay. Architecture is the first casualty of earthquakes, and human beings under the architecture are the casualties of the architecture. Even with a wholly natural disaster, whatever that might be—a tsunami, maybe—who gets help, who has resources to rebuild, who is treated as a threat or a malingerer—those are not natural but social phenomena. ~ Rebecca Solnit,
1435:Many researchers, who have been conditioned to using cognitive models, might not initially see the difference between “levels” and “layers.” With levels, processes are sequential (or, as electrical engineers would say, “in series”), while with a layered architecture, processing goes on simultaneously (“in parallel”). When processing through levels, all the steps are performed one after another, like a baton relay. You need one level to finish before the next one up can start. Processing in layers, on the other hand, can have all the runners leave at the same time and go different places. This change in architecture makes for big differences. ~ Michael S Gazzaniga,
1436:...the multitudinous substitutes for indigenous culture cannot grow. Having no roots, they can only age and decay. Studious, sincere youth retires, defeated. American youth, capable of becoming serious competent artists, under such pressure as this on every side, confused, try not to give up--or "fall in line." This is the nature of about all that can be called American education in the arts and architecture at this time. As for religion true to the teaching of the great redeemer who said "The Kingdom of God is within you"--that religion is yet to come: the concept true not only for the new reality of building but for the faith we call democracy. ~ Frank Lloyd Wright,
1437:The architecture of the Minotaur’s heart is ancient. Rough hewn and many chambered, his heart is a plodding laborious thing, built for churning through the millennia. But the blood it pumps—the blood it has pumped for five thousand years, the blood it will pump for the rest of his life—is nearly human blood. It carries with it, through his monster’s veins, the weighty, necessary, terrible stuff of human existence: fear, wonder, hope, wickedness, love. But in the Minotaur’s world it is far easier to kill and devour seven virgins year after year, their rattling bones rising at his feet like a sea of cracked ice, than to accept tenderness and return it. ~ Steven Sherrill,
1438:Such a captive maiden, having plenty of time to think, soon realizes that her tower, its height and architecture, are like her ego only incidental: that what really keeps her where she is is magic, anonymous and malignant, visited on her from outside and for no reason at all. Having no apparatus except gut fear and female cunning to examine this formless magic, to understand how it works, how to measure its field strength, count its lines of force, she may fall back on superstition, or take up a useful hobby like embroidery, or go mad, or marry a disk jockey. If the tower is everywhere and the knight of deliverance no proof against its magic, what else? ~ Thomas Pynchon,
1439:The deepwood is vanished in these islands -- much, indeed, had vanished before history began -- but we are still haunted by the idea of it. The deepwood flourishes in our architecture, art and above all in our literature. Unnumbered quests and voyages have taken place through and over the deepwood, and fairy tales and dream-plays have been staged in its glades and copses. Woods have been a place of inbetweenness, somewhere one might slip from one world to another, or one time to a former: in Kipling's story 'Puck of Pook's Hill,' it is by right of 'Oak and Ash and Thorn' that the children are granted their ability to voyage back into English history. ~ Robert Macfarlane,
1440:As I explain at some length in 'The Crystal Sun' this particular angle, which we can call the 'golden angle,' is the precise value of the acute angle of of a right-angled 'golden triangle' that embodies the golden mean proportion ....

The Danish art historian Else Kielland established with conclusive and absolutely overwhelming evidence and analysis that this angle was the basis for all Egyptian art and architecture. She did this in her monumental work 'Geometry in Egyptian Art' .....

The King's Chamber inside the Great Pyramid embodies no fewer than eight occurrences of the golden angle, and the coffer in the chamber embodies yet more. ~ Robert K G Temple,
1441:If a work of architecture consists of forms and contents that combine to create a strong fundamental mood powerful enough to affect us, it may possess the qualities of a work of art. This art has, however, nothing to do with interesting configurations or originality. It is concerned with insights and understanding, and above all truth. Perhaps poetry is unexpected truth. It lives in stillness. Architecture's artistic task is to give this still expectancy a form. The building itself is never poetic. At most, it may possess subtle qualities, which, at certain moments, permit us to understand something that we were never able to understand in quite this way before. ~ Peter Zumthor,
1442:the architecture of our brains was born from the same trial and error, the same energy principles, the same pure mathematics that happen in flowers and jellyfish and Higgs particles.

Viewed in this way, our human aesthetic is necessarily the aesthetic of nature.

Viewed in this way, it is nonsensical to ask why we find nature beautiful.

Beauty and symmetry and minimum principles are not qualities we ascribe to the cosmos and then marvel at in their perfection.

They are simply what is, just like the particular arrangement of atoms that make up our minds.

We are not observers on the outside looking in. We are on the inside too. ~ Alan Lightman,
1443:Most systems displaying a high degree of tolerance against failures are a common feature: Their functionality is guaranteed by a highly interconnected complex network. A cell's robustness is hidden in its intricate regulatory and metabolic network; society's resilience is rooted in the interwoven social web; the economy's stability is maintained by a delicate network of financial and regulator organizations; an ecosystem's survivability is encoded in a carefully crafted web of species interactions. It seems that nature strives to achieve robustness through interconnectivity. Such universal choice of a network architecture is perhaps more than mere coincidences. ~ Albert L szl Barab si,
1444:Bluntly and quietly, in a series of simple, forthright sentences, she dismantled the architecture of unhappiness that had been growing up around us for the past several days. She was calling from the office she said, and had to talk in a low voice, 'but if you can hear me, Sid' she began, 'there are four things I want you to know. First, I haven't stopped thinking about you since I left the house this morning. Second, I've decided to have the baby, and we're never going to use the word "abortion" again. Third, don't bother to make dinner. [...] Fourth, make sure Mr. Johnson's ready for action. I'm going to attack you the minute I walk in the door, my love, so be prepared. ~ Paul Auster,
1445:On Christmas Eve, my mother and I and Teddy and Anita went to Mass together. The shadowy church was lit only by an overhead light trained on the altar and by the flames of hundreds of flickering candles in glass cups set all around the nave. If you squinted, the columns and vaults seemed to melt away, all the grandness of the architecture receded, and the space became intimate, almost as if you were cast back many centuries to a humble place where a miracle had occurred, where the radiance issued not from candles but from the air itself, back to a less hectic era before the invention of clocks, to a night of peace from which a renewed world would then begin to date itself. ~ Dean Koontz,
1446:Just 3 years of research between 2009 and 2012 witnessed a profound change in archaeological understanding of the geoglyphs of the southwestern Amazon. Previously they'd been thought to be just 750 years old; now, without any real attention being drawn to the implications, they'd become 2,000 years old. To put this in context, an error and subsequent correction on a similar scale would certainly attract a great deal of attention if it concerned Western architecture--indeed it would be like discovering that the great Gothic cathedrals of Europe such as Chartres and York Minster were not, in fact, works of the late medieval period but had actually been built by the Romans. ~ Graham Hancock,
1447:And yet from what is to what could be you cross a bridge that takes you, no more, no less, from Hell to Paradise. And more bizarre: a Paradise composed of the exact same material as Hell. The only difference is our perception of the material’s arrangement – more easily understood by imagining it applied to ethical and emotional architectures – yet it’s enough to pinpoint the immeasurable difference. If the reality created by people whose half-mast emotions and sensations disallow, now and perhaps forever, the other architecture or, in other words, the revolutionary re-synthesis, then, to my thinking, only the spirit is free and able to take it on. ~ Odysseus Elytis,
1448:Bellevue and its satellites were not suburbs so much as—in the rising term—an Edge City, with its own economy, sociology, and architecture. Things made on the Eastside were odorless, labor-intensive, and credit-card thin, like computer software and aerospace-related electronics gear. They were assembled in low, tree-shaded factories, whose large grounds were known as “campuses”—for in Bellevue all work was graduate work, and the jargon of school and university leaked naturally into the workplace. Seen from an elevated-freeway distance, Bellevue looked like one of its own products: a giant circuit board of color-coded diodes and resistors, connected by a mazy grid of filaments. ~ Jonathan Raban,
1449:The intelligence we will create from the reverse-engineering of the brain will have access to its own source code and will be able to rapidly improve itself in an accelerating iterative design cycle. Although there is considerable plasticity in the biological human brain, as we have seen, it does have a relatively fixed architecture, which cannot be significantly modified, as well as a limited capacity. We are unable to increase its 300 million pattern recognizers to, say, 400 million unless we do so nonbiologically. Once we can achieve that, there will be no reason to stop at a particular level of capability. We can go on to make it a billion pattern recognizers, or a trillion. ~ Ray Kurzweil,
1450:Sunday “Well then, as I have just told you, they devoted each day of the week to productions in one or another special branch of knowledge—either works of their hands, or some other form of consciously designed being-manifestation “Thus, Monday was devoted to the first group, and this day was called the ‘day of religious and civil ceremonies’, “Tuesday was allotted to the second group, and was called the ‘day of architecture’, “Wednesday was called the ‘day of painting’, “Thursday, the ‘day of religious and popular dances’, “Friday, the ‘day of sculpture’, “Saturday, the ‘day of the mysteries’ or, as it was also called, the ‘day of the theater’, “Sunday, the ‘day of music and song ~ G I Gurdjieff,
1451:…I’ve seen the world tell us with wars and real estate developments and bad politics and odd court decisions that our lives don’t matter. That may be because we are too many. Architecture and application form, modern life says that with so many of us we can best survive by ignoring identity and acting as it individual differences do not exist. Maybe the narcissism academics condemn in creative writers is but a last reaching for a kind of personal survival. Anyway, as a sound psychoanalyst once remarked to me dryly, narcissism is difficult to avoid. When we are told in dozens of insidious ways that our lives don’t matter, we may be forced to insist, often far too loudly, that they do. ~ Richard Hugo,
1452:What is the world? What is it for?
It is an art. It is the best of all possible art, a finite picture of the infinite. Assess it like prose, like poetry, like architecture, sculpture, painting, dance, delta blues, opera, tragedy, comedy, romance, epic. Assess it like you would a Faberge egg, like a gunfight, like a musical, like a snowflake, like a death, a birth, a triumph, a love story, a tornado, a smile, a heartbreak, a sweater, a hunger pain, a desire, a fufillment, a desert, a waterfall, a song, a race, a frog, a play, a song, a marriage, a consummation, a thirst quenched.
Assess it like that. And when you're done, find an ant and have him assess the cathedrals of Europe. ~ N D Wilson,
1453:The whole appeal of medieval studies - the language, the literature, the history, the art and architecture - to immerse oneself in that world. That other world. Safely other. Other in almost every way, except that it was here. Look at those fields on either side of the motorway. Those low hills. It was here. They were here, as we are here now. And this too shall pass. We don't actually believe that, though, do we? We are unable to believe that our own world will pass. So it will go on for ever? No. It will turn into something else. Slowly - too slowly to be perceived by the people living in it. Which is already happening, is always happening. We just can't see it. ~ David Szalay,
1454:The greatest of these Hadrianic survivals is undoubtedly the Pantheon. A former temple, inscribed to Marcus Agrippa (the original dedicatee of the first Pantheon to be erected on this spot, which was one of two destroyed by fire), the Pantheon probably survived the demolition which was the lot of many pagan buildings at the hands of the popes because of its early re-use as a church. Built between 118 and 125 CE, it became the Church of St Mary and the Martyrs in the seventh century and is still a Christian basilica today. Inside are two great glories of ancient architecture. The vaulted roof, made of concrete poured into wooden moulds, was the greatest span of such roof ever known ~ Elizabeth Speller,
1455:i used to classify my books in two categories: architecture books and other books. then i realized that my first category mostly dealt with architecture as an aestheticized formalism, whereas the second category posed cities, buildings and settings as integrated with life and human character. During the past thirty years, i have come to view all books as architecture books, because all human situations, histories, fictions, actions and thoughts are framed by human constructions and artifacts; our spatial, material and mental constructions provide essential horizons of understanding. i read poems, listen to music, look at paintings, and watch films as potential architectural propositions.18 ~ Anonymous,
1456:raising more questions as I progressed through school, questions whose answers were even more perplexing. For, having read everything about the African race that I could get my hands on, I knew even before leaving high school that (1) The Land of theBlacks was not only the "cradle of civilization" itself but that the Blacks were once the leading people on earth ; (2) that Egypt once was not only all-black, but the very name "Egypt" was derived from the Blacks ; (3) and that the Blacks were the pioneers in the sciences, medicine, architecture, writing, and were the first builders in stone, etc . The big unanswered question, then, was what had happened? How was this highly advanced ~ Chancellor Williams,
1457:The Science of Government it is my Duty to study, more than all other Sciences: the Art of Legislation and Administration and Negotiation, ought to take Place, indeed to exclude in a manner all other Arts.—I must study Politicks and War that my sons may have liberty to study Mathematicks and Philosophy. My sons ought to study Mathematicks and Philosophy, Geography, natural History, Naval Architecture, navigation, Commerce and Agriculture, in order to give their Children a right to study Painting, Poetry, Musick, Architecture, Statuary, Tapestry and Porcelaine. ~ John Adams, letter to Abigail Adams, after May 12, 1780; reported in L. H. Butterfield, ed., Adams Family Correspondence (1973), vol. 3, p. 342.,
1458:...many neat holes dug in the dawn hours, seeds dropped in, and water brought....And, the thing that he wanted was Mars grown green and tall with trees and foliage, producing air, more air, growing larger with each season; trees to cool the towns in the boiling summer, trees to hold back the winter winds. There were so many things a tree could do: add color, provide shade, drop fruit or become a children's playground, a whole sky universe to climb and hang from; an architecture of food and pleasure, that was a tree. But most of all the trees would distill an icy air for the lungs, and a gentle rustling for the ear when you lay nights in your snowy bed and were gentled to sleep by the sound. ~ Ray Bradbury,
1459:religions merit our attention for their sheer conceptual ambition; for changing the world in a way that few secular institutions ever have. They have managed to combine theories about ethics and metaphysics with a practical involvement in education, fashion, politics, travel, hostelry, initiation ceremonies, publishing, art and architecture – a range of interests which puts to shame the scope of the achievements of even the greatest and most influential secular movements and individuals in history. For those interested in the spread and impact of ideas, it is hard not to be mesmerized by examples of the most successful educational and intellectual movements the planet has ever witnessed. ~ Alain de Botton,
1460:Humanity has changed profoundly, and the accumulation of constant novelties exalts a superficiality which pulls us in one direction. It becomes difficult to pause and recover depth in life. If architecture reflects the spirit of an age, our megastructures and drab apartment blocks express the spirit of globalized technology, where a constant flood of new products coexists with tedious monotony. “Nobody is suggesting a return to the Stone Age,” he wrote. “But we do need to slow down and look at reality in a different way, to appropriate the positive and sustainable progress which has been made, but also to recover the values and the great goals swept away by our unrestrained delusions of grandeur. ~ Anonymous,
1461:El Shaddai continued looking at Babylon’s magnificent architecture and achievements. He mused out loud, “This is only the beginning of what they will do. They will stop at nothing to achieve the impossible.” Abram blurted out, “My Lord.” El Shaddai looked down at Abram. He put his hand on Abram’s head with loving care and smiled. Abram melted inside. It was the Lord. He could not describe the look of the face that on the surface was rather common looking. But in his eyes, he saw the heavens and the earth. El Shaddai turned his face back to Babylon and continued walking. Abram got up to follow him, but Mikael held him back. He looked at him with a mere shaking of his head “no.” Abram stood still. ~ Brian Godawa,
1462:People need foundation myths, some imprint of year zero, a bolt that secures the scaffolding that in turn holds fast the entire architecture of reality, of time: memory-chambers and oblivion-cellars, walls between eras, hallways that sweep us on towards the end-days and the coming whatever-it-is. We see things shroudedly, as through a veil, an over-pixellated screen. When the shapeless plasma takes on form and resolution, like a fish approaching us through murky waters or an image looming into view from noxious liquid in a darkroom, when it begins to coalesce into a figure that's discernible, if ciphered, we can say: This is it, stirring, looming even if it isn't really, if it's all just ink-blots. ~ Tom McCarthy,
1463:It is no coincidence that precisely when things started going downhill with the gods, politics gained its bliss-making character. There would be no reason for objecting to this, since the gods, too were not exactly fair. But at least people saw temples instead of termite architecture. Bliss is drawing closer; it is no longer in the afterlife, it will come, though not momentarily, sooner or later in the here and now - in time.

The anarch thinks more primitively; he refuses to give up any of his happiness. "Make thyself happy" is his basic law. It his response to the "Know thyself" at the temple of Apollo in Delphi. These two maxims complement each other; we must know our happiness and our measure. ~ Ernst J nger,
1464:Mr Norrell determined to establish himself in London with all possible haste. "You must get a house, Childermass," he said. "Get me a house that says to those that visit it that magic is a respectable profession - no less than Law and a great deal more so than Medicine."
Childermass inquired drily if Mr Norrell wished him to seek out architecture expressive of the proposition that magic was as respectable as the Church?
Mr Norrell (who knew there were such things as jokes in the world or people would not write about them in books, but who had never actually been introduced to a joke or shaken its hand) considered a while before replying at last that no, he did not think they could quite claim that. ~ Susanna Clarke,
1465:After a few short years (fifteen, to be exact — brief by his count, interminable by hers), surrounded by all this vegetative rampancy, she was feeling increasingly unsure of herself. She missed the built environment of New York City. It was only in an urban landscape, amid straight lines and architecture, that she could situate herself in human time and history. As a novelist she needed this. She missed people. She missed human intrigue, drama and power struggles. She needed her own species, not to talk to, necessarily, but just to be among, as a bystander in a crowd or an anonymous witness.

But here, on the sparsely populated island, human culture barely existed and then only as the
thinnest veneer. ~ Ruth Ozeki,
1466:the architecture student from number eleven presses his face to the glass and looks at the way the light falls through the water, he thinks about a place where he worked in the spring, an office where they had a stack of empty watercooler bottles against the window, and how he would sit and watch the sun mazing its way through the layers of refraction, the beauty of it, he called it spontaneous maths and he wanted to build architecture like he that, he looks at the row of houses opposite and he pictures them built entirely of plastic and glass, he imagines how people's lives might change if their dwellings shook with endless reflections of light, he does not know if it's possible but he thinks it's a nice idea ~ Jon McGregor,
1467:The priestess stopped at the mouth of the cave. She raised her arms, and an ugly unearthly howl came out of her, as if from the very depths of Sheol. The acoustics of the grotto were astounding. Every sound was amplified. Then she disappeared inside the cave. Jesus walked up to the temple area. Simon saw people appear from inside buildings and tombs, from behind trees, rocks and architecture. They were the local residents, but they were not acting normally. They walked with slight jerks and twitches, stumbling toward Jesus. Some could be heard squealing like swine and making guttural animal sounds. Demoniacs. Hundreds of them. Descending the slope like slow, crouching predators upon their prey, the Son of God. ~ Brian Godawa,
1468:Until writing was invented, man lived in an acoustic space: boundless, directionless, horizonless, in the dark of the mind, in the world of emotion, by primordial intuition, by terror. Speech is a social chart of this bog.

The goose quill put an end to talk. It abolished mystery; it gave architecture and towns; it brought roads and armies, bureaucracy. It was the basic metaphor with which the cycle of civilization began, the step from the dark into the light of the mind. The hand that filled the parchment page built a city.

Whence did the wond'rous mystic art arise,
Of painting SPEECH, and speaking to the eyes?
That we by tracing magic lines are taught,
How to embody, and to colour THOUGHT? ~ Marshall McLuhan,
1469:Trade and religion were thus inextricably combined in Mecca. The pilgrimage to Mecca was the climax of the suq cycle, and the Quraysh reconstructed the cult and architecture of the sanctuary so that it became a spiritual center for all the Arab tribes. Even though the Bedouin were not much interested in the gods, each tribe had its own presiding deity, usually represented by a stone effigy. The Quraysh collected the totems of the tribes that belonged to their confederacy and installed them in the Haram so that the tribesmen could only worship their patronal deities when they visited Mecca. The sanctity of the Kabah was thus essential to the success and survival of the Quraysh, and their competitors understood this. ~ Karen Armstrong,
1470:Just look at the architecture," Dr Hartmann explained. Blueprint your feet, and you'll find a marvel that engineers have been trying to match for centuries. Your foot's centerpiece is the arch, the greastest weight-bearing design ever created. The beauty of any arch is the way it gets stronger under stress. The harder you push down, the tighter its parts mesh. No stonemason worth his trowel would ever stick a support under an arch; push up from underneath, and you weaken the whole structure. Buttressing the foot's arch from all sides is a high-tensile web of twenty-six bones, thirty-three joints, twelve rubbery tendons, and eighteen muscles, all stretching and flexing like an earthquake resistant suspension bridge. ~ Christopher McDougall,
1471:Hi, I’m Bob Howard. I’m a computational demonologist and senior field agent working for an organization you don’t know exists. My job involves a wide range of tasks, including: writing specifications for structured cabling runs in departmental offices; diving through holes in spacetime that lead to dead worlds and fighting off the things with too many tentacles and mouths that I find there; liaising with procurement officers to draft the functional requirements for our new classified document processing architecture; exorcising haunted jet fighters; ensuring departmental compliance with service backup policy; engaging in gunfights with the inbred cannibal worshippers of undead alien gods; and sitting in committee meetings. ~ Charles Stross,
1472:It's not unreasonable [...] to suppose that some kind of cosmic "sky-ground" religion lay behind the alignments to the solstices and the equinoxes at Watson Brake and at the other early sites--a religion sufficiently robust to ensure the continuous successful transmission of a system of geometry, astronomy, and architecture over thousands of years.
John Clark is in no doubt. 'The evidence,' he says, 'suggests very old and widely disseminated knowledge about how to build large sites. The building lore persisted remarkably intact for so long that I think we can, and must, assume that it was part of special knowledge tied to ritual practice.'
Where did this special knowledge come from before it appeared at Watson Brake? ~ Graham Hancock,
1473:She thought it strange that she had never visited Peterborough before; after all it was an incredible focal point for wealth. But after she arrived, she realized it ordered a different sort of money to the type she was used to. Peterborough’s money was active money, it was finance consortium muscle, corporate power, political influence; the only gambling here was the venture capital backing industrial research lab. Nobody hoarded money in Peterborough, they worked it; the static, emasculated trusts which enabled her patrons to indulgently through life shrank from this city’s vitality. Prior’s Fen epitomized the new culture, bold, purposeful architecture sticking two defiant fingers up to the dead past. The antithesis of Monaco. ~ Anonymous,
1474:Wright is an interesting study of a superstar architect having both right and wrong influence. “All Architecture, worthy the name,” he decreed in 1910, “will, henceforward, more and more be organic.”12 So inspired by Viollet-le-Duc and Louis Sullivan, he inspired countless others (including young me) toward an organic approach to architecture. At the same time, the very pomposity of his decrees helped inflame a fatal egotism in generations of architects, and his most famous buildings belie his organic ideal. They were so totally designed—down to the screwheads all being aligned horizontally to match his prairie line—that they cannot be changed. To live in one of his houses is to be the curator of a Frank Lloyd Wright museum; ~ Stewart Brand,
1475:Dream Song 55"

Peter's not friendly. He gives me sideways looks.
The architecture is far from reassuring.
I feel uneasy.
A pity,—the interview began so well:
I mentioned fiendish things, he waved them away
and sloshed out a martini

strangely needed. We spoke of indifferent matters—
God's health, the vague hell of the Congo,
John's energy,
anti-matter matter. I felt fine.
Then a change came backward. A chill fell.
Talk slackened,

died, and began to give me sideways looks.
'Chirst,' I thought 'what now?' and would have askt for another
but didn't dare.
I feel my application failing. It's growing dark,
some other sound is overcoming. His last words are:
'We betrayed me. ~ John Berryman,
1476:If a superintelligent zombie AI breaks out and eliminates humanity, we’ve arguably landed in the worst scenario imaginable: a wholly unconscious universe wherein the entire cosmic endowment is wasted. Of all traits that our human form of intelligence has, I feel that consciousness is by far the most remarkable, and as far as I’m concerned, it’s how our Universe gets meaning. Galaxies are beautiful only because we see and subjectively experience them. If in the distant future our cosmos has been settled by high-tech zombie AIs, then it doesn’t matter how fancy their intergalactic architecture is: it won’t be beautiful or meaningful, because there’s nobody and nothing to experience it—it’s all just a huge and meaningless waste of space. ~ Max Tegmark,
1477:L'invention de l'imprimerie est le plus grand événement de l'histoire. C'est la révolution mère. C'est le mode d'expression de l'humanité qui se renouvelle totalement, c'est la pensée humaine qui dépouille une forme et en revêt une autre, c'est le complet et définitif changement de peau de ce serpent symbolique qui, depuis Adam, représente l'intelligence.

Sous la forme imprimerie, la pensée est plus impérissable que jamais; elle est volatile, insaisissable, indestructible. Elle se mêle à l'air. Du temps de l'architecture, elle se faisait montagne et s'emparait puissamment d'un siècle et d'un lieu. Maintenant elle se fait troupe d'oiseaux, s'éparpille aux quatre vents, et occupe à la fois tous les points de l'air et de l'espace. ~ Victor Hugo,
1478:Nothing is original. Steal from anywhere that resonates with inspiration or fuels your imagination. Devour old films, new films, music, books, paintings, photographs, poems, dreams, random conversations, architecture, bridges, street signs, trees, clouds, bodies of water, light and shadows. Select only things to steal from that speak directly to your soul. If you do this, your work (and theft) will be authentic. Authenticity is invaluable; originality is non-existent. And don’t bother concealing your thievery - celebrate it if you feel like it. In any case, always remember what Jean-Luc Godard said: “It’s not where you take things from - it’s where you take them to."

[MovieMaker Magazine #53 - Winter, January 22, 2004 ] ~ Jim Jarmusch,
1479:They pause, almost but not quite clutching each other, with their ears cocked. The hideous dried-out croak is drawing inevitably closer – apparently, whether by some quirk of the architecture, the type of stone in the masonry perhaps or the curious way the corridor bends, from both directions at once. The boys gibber at each other helplessly. With every passing instant now the temperature drops precipitously, the grey light wanes; the ghastly voice chants its message, necrotic and Latin, over and again, as though doomed to repeat it, doomed for eternity, a doom that any second now they will be sharing, when the voice’s owner comes around that corner, or the other corner, or possibly even both corners, to find them quaking before her – ~ Paul Murray,
1480:Documentation: • Azure Websites Portal page for azure.microsoft.com documentation about Azure Websites. • Azure Websites, Cloud Services, and Virtual Machines Comparison Azure Websites as shown in this introduction is just one of three ways you can run web apps in Azure. Read this article for guidance on how to choose which one is right for your scenario. Like Websites, Cloud Services is a Platform as a Service (PaaS) feature of Azure. VMs are an Infrastructure as a Service (IaaS) feature. For an explanation of PaaS versus IaaS, see Chapter 6, “Data storage options.” Videos: • Scott Guthrie starts at Step 0 - What is the Azure Cloud OS? • Websites Architecture - with Stefan Schackow. • Windows Azure Websites Internals with Nir Mashkowski. ~ Anonymous,
1481:There obviously is a different feel to a wave of intense emotion versus an abstract thought, but each conscious form is an experience that gives us a unique perception of reality. The pattern in which these various conscious forms come in and out of awareness gives us our own personal life story. The vast variety of conscious forms and the ubiquity of consciousness in the brain are best explained by a modular architecture of the brain. The conceptual challenge now is to understand how hundreds, if not thousands, of modules, embedded in a layered architecture—each layer of which can produce a form of consciousness—give us a single, unified life experience at any given moment that seems to flow flawlessly into the next across time. ~ Michael S Gazzaniga,
1482:Strands of straight, damp hair fell across her face, and he brushed them away gently and thought how beautiful she was. He could not recall when or how the change had taken place, or even that he had ever thought her plain. It was as though her features had come to take on a special meaning and unfold their beauties one by one. They had lost their individual identity as nose, or mouth, or lashes spread against a cheek. The tender sweetness of each had become intimately familiar to him. He had explored them all, experienced their texture, discovering new enchantments of human architecture in the gentle flare of a nostril, the smooth surface of brow or temple, the innocent and touching gallantry of the spot where her head and neck were joined. ~ Paul Gallico,
1483:The architecture of the Colisseum and other places of Roman entertainment are difficult to judge without recalling what purpose they served. It was here that gladiators fought to the death; that prisoners of war, convicts and Christians were devoured by as many as 5,000 wild beasts at a time; and that voctims were crucified or burned alive for the entertainment of Roman civilization. When the Romans screamed for ever more blood, artificial lakes were dug and naval battles of as many as 19,000 gladiators were staged until the water turned red with blood. The only emperors who did not throw Christians to the lions were the Christian emperors: They threw pagans to the lions with the same gusto and for the same crime-having a different religion. ~ Petr Beckmann,
1484:A thousand years from now" Leonidas declared, "two thousand, three thousand years hence, men a hundred generations yet unborn may, for their private purposes, make journey to our country. They will come, scholars perhaps, or travelers from beyond the sea, prompted by curiosity regarding the past, or appetite for knowledge of the ancients. They will peer out across our plain and probe among the stone and rubble of our nation. What will they learn about us? Their shovels will unearth neither brilliant palaces nor temples. Their picks will prize forth no everlasting architecture or art. What will remain of the Spartans? Not monuments of marble or bronze, but this......what we do here, today." Out beyond the narrows, the enemy trumpets sounded. ~ Steven Pressfield,
1485:In a quip that makes the rounds, Jesus preached the coming of the kingdom, but it was the church that came. All these years later, the way many of us are doing church is broken and we know it, even if we do not know what to do about it. We proclaim the priesthood of all believers while we continue with hierarchical clergy, liturgy, and architecture. We follow a Lord who challenged the religious and political institutions of his time while we fund and defend our own. We speak and sing of divine transformation while we do everything in our power to maintain our equilibrium. If redeeming things continue to happen to us in spite of these deep contradictions in our life together, then I think that is because God is faithful even when we are not. ~ Barbara Brown Taylor,
1486:We are becoming too solemn about downtown. The architects, planners—and businessmen–are seized with dreams of order, and they have become fascinated with scale models and bird’s­eye views. This is a vicarious way to deal with reality, and it is, unhappily, symptomatic of a design philosophy now dominant: buildings come first, for the goal is to remake the city to fit an abstract concept of what, logically, it should be. But whose logic? The logic of the projects is the logic egocentric children, playing with pretty blocks and shouting “See what I made!”–a viewpoint much cultivated in our schools of architecture and design. And citizens who should know better are so fascinated by the sheer process of rebuilding that the end results are secondary to them ~ Anonymous,
1487:I don’t know why I love the open spaces in the Southwest or Grand Central Terminal or the fading Atomic Age Googie architecture you see sometimes when driving. I don’t know why merely glimpsing the Statue of Liberty brings tears to my eyes, or why a single phrase on an Etta James or Patsy Cline record does what it does to me. It just does. I have spoken to other immigrants about this, and I have noticed that there is generally a satisfactory explanation — religious freedom, the chance at self-expression, the country’s size — and then there is the wistful stuff that moistens the eyes. Show me a picture of two canyons, and the fact that one of them is American will make all the difference. Just because it is American. Is this so peculiar? Perhaps. ~ Charles C W Cooke,
1488:Jordan Peterson's Book List
1. Brave New World - Aldous Huxley
2. 1984 - George Orwell
3. Road To Wigan Pier - George Orwell
4. Crime And Punishment - Fyodor Dostoevsky
5. Demons - Fyodor Dostoevsky
6. Beyond Good And Evil - Friedrich Nietzsche
7. Ordinary Men - Christopher Browning
8. The Painted Bird - Jerzy Kosinski
9. The Rape of Nanking - Iris Chang
10. Gulag Archipelago (Vol. 1, Vol. 2, & Vol. 3) - Aleksandr Solzhenitsyn
11. Man's Search for Meaning - Viktor Frankl
12. Modern Man in Search of A Soul - Carl Jung
13. Maps Of Meaning: The Architecture of Belief - Jordan B. Peterson
14. A History of Religious Ideas (Vol. 1, Vol. 2, Vol. 3) - Mircea Eliade
15. Affective Neuroscience - Jaak Panksepp ~ Jordan Peterson,
1489:The conclusion that the Egyptians of the Old Kingdom were acquainted with both the Fibonacci series and the Golden Section, says Stecchini, is so startling in relation to current assumptions about the level of Egyptian mathematics that it could hardly have been accepted on the basis of Herodotus' statement alone, or on the fact that the phi [golden] proportion happens to be incorporated in the Great Pyramid.

But the many measurements made by Professor Jean Philippe Lauer, says Stecchini, definitely prove the occurrence of the Golden Section throughout the architecture of the Old Kingdom.... Schwaller de Lubicz also found graphic evidence that the pharonic Egyptians had worked out a direct relation between pi and phi in that pi = phi^2 x 6/5. ~ Peter Tompkins,
1490:to take an example closer to home, consider the fact that every few years your body replaces most of the atoms that comprise you. In spite of this, you remain yourself in all the ways that matter to you. One atom is as good as any other if it’s playing the same functional role in your molecular makeup. The same story should hold for the brain: if a mad scientist were to replace each of your neurons with a functionally equivalent micromachine replica, you should come out of the procedure feeling no less your own true self than you had at the outset. By this principle, an artificial system that used the same functional architecture as an intelligent, living brain should be likewise intelligent—and not just contrivedly so, but actually, truly intelligent. ~ Jeff Hawkins,
1491:Thus pleasantly conversing on the favorable circumstances of our position as compared with those of past pilgrims and of narrow-minded ones at the present day, we soon found ourselves at the foot of the Hill Difficulty. Through the very heart of this rocky mountain a tunnel has been constructed of most admirable architecture, with a lofty arch and a spacious double track; so that, unless the earth and rocks should chance to crumble down, it will remain an eternal monument of the builder's skill and enterprise. It is a great though incidental advantage that the materials from the heart of the Hill Difficulty have been employed in filling up the Valley of Humiliation, thus obviating the necessity of descending into that disagreeable and unwholesome hollow. ~ Nathaniel Hawthorne,
1492:As I studied the e-mail from Glenn Milne, I knew just how ancient the U-shaped structure [found a few kilometers away from the Indian coast] really might be - at least 11,000 years old. That's 6000 years older than the first monumental architecture of ancient Egypt or of ancient Sumer in Mesopotamia - traditionally thought of as the oldest civilizations of antiquity. Certainly, no civilization known to history existed in southern India - or anywhere else - 11,000 years ago. Yet the U-shaped structure off the Tranquebar-Poompuhur coast invites us to consider the possibility that it was the work of a civilization that archaeologists have as yet failed to identify - one whose primary ruins could have been missed because they are submerged so deep beneath the sea. ~ Graham Hancock,
1493:For the moment I must rush on, carry on through the myth of the Dordogne to the tomb of St. Louis which is called a city but which is a foul, stinking corpse rising up from the plain like an advertisement of Albrecht Dürer’s “Melancholia”. Like its twin-sister, Milwaukee, this great American city creates the impression that architecture itself has gone mad. The true morbidity of the American soul finds its outlet here. Its hideousness is not only appalling but suffocating. The houses seem to have been decorated with rust, blood, tears, sweat, bile, rheum and elephant clung. One can imagine the life which goes on there—something à la Theodore Dreiser at his worst. Nothing can terrify me more than the thought of being doomed to spend the rest of my days in such a place. ~ Henry Miller,
1494:In the internal decoration, if not in the external architecture of their residences, the English are supreme. The Italians have but little sentiment beyond marbles and colors. In France, meliora probant, deteriora sequuntur -- the people are too much a race of gadabouts to maintain those household proprieties of which, indeed, they have a delicate appreciation, or at least the elements of a proper sense. The Chinese and most of the Eastern races have a warm but inappropriate fancy. The Scotch are poor decorists. The Dutch have, perhaps, an indeterminate idea that a curtain is not a cabbage. In Spain, they are all curtains -- a nation of hangmen. The Russians do not furnish. The Hottentots and Kickapoos are very well in their way. The Yankees alone are preposterous. ~ Edgar Allan Poe,
1495:There’s a lot of scientific evidence demonstrating that focused attention leads to the reshaping of the brain. In animals rewarded for noticing sound (to hunt or to avoid being hunted, for example), we find much larger auditory centers in the brain. In animals rewarded for sharp eyesight, the visual areas are larger. Brain scans of violinists provide more evidence, showing dramatic growth and expansion in regions of the cortex that represent the left hand, which has to finger the strings precisely, often at very high speed. Other studies have shown that the hippocampus, which is vital for spatial memory, is enlarged in taxi drivers. The point is that the physical architecture of the brain changes according to where we direct our attention and what we practice doing. ~ Daniel J Siegel,
1496:Laura Dillard." It seemed impossible that he could share this with Catherine Marks, but she seemed to object he would. And somehow he was obliging her. "Beautiful girl. She loved to watercolor. Few people are good at that, they're too afraid of making mistakes. You can't lift the color or hide it, once it's put down. And water is unpredictable- an active partner in the painting- you have to let it behave as it will. Sometimes the color diffuses in ways you don't expect, or one shade backruns into another. That was fine with Laura. She liked the surprises of it. We had known each other all during childhood. I went away for two years to study architecture, and when I came back, we fell in love. So easily. We never argued- there was nothing to argue over. Nothing in our way. ~ Lisa Kleypas,
1497:Movies have more power than any other medium to define the world we believe we live in.  When I was in high school, my classmates said that we didn’t have a “real” high-school experience because it wasn’t like what we saw on TV.  Ironically, reality was less “real” than fiction.  Motion pictures define our cultural consciousness.  I personally can’t imagine how I would process the world if I hadn’t watched movies.  There are certain experiences, like drugs and crime, that we know mostly from movies.  How we imagine the past and the future is largely determined by the films we’ve seen. And in some cases, the futures we’ve seen on screen influence the development of real technology and architecture, so that our fiction sets the course along which our reality will develop. The ~ Patrick Meaney,
1498:The panopticon is an architecture of social control. Think of how you act when a police car is driving next to you, or how an entire country acts when state agents are listening to phone calls. When we know everything is being recorded, we are less likely to speak freely and act individually. When we are constantly under the threat of judgment, criticism, and correction for our actions, we become fearful that—either now or in the uncertain future—data we leave behind will be brought back to implicate us, by whatever authority has then become focused upon our once-private and innocent acts. In response, we do nothing out of the ordinary. We lose our individuality, and society stagnates. We don’t question or challenge power. We become obedient and submissive. We’re less free. ~ Bruce Schneier,
1499:But if tools were actually central to mental growth beyond purely animal needs, how is it that those primitive peoples, like the Australian Bushmen, who have the most rudimentary technology, nevertheless exhibit elaborate religious ceremonials, an extremely complicated kinship organization, and a complex and differentiated language? Why, further, were highly developed cultures, like those of the Maya, the Aztecs, the Peruvians, still using only the simplest handicraft equipment, though they were capable of constructing superbly planned works of engineering and architecture, like the road to Machu Pichu and Machu Pichu itself? And how is it that the Maya, who had neither machines nor draught animals, were not only great artists but masters of abstruse mathematical calculations. ~ Lewis Mumford,
1500:The architecture of the thugs also differs from that of normal societies. It can often be recognized by the megalomaniacal style of their public buildings and facilities. The Moscow subway is a faithful copy of the London Underground, except that its stations and corridors are filled with statues of homo sovieticus, a fictitious species that stands (or sits on a tractor), chin up, chest out, belly in, heroically gazing into the distance with a look of grim determination. The Romans had similar tastes. Their public latrines were lavishly decorated with mosaics and marbles. When a particularly elaborately decorated structure at Puteoli was dug up by archaeologists in the last century, they thought at first that they had discovered a temple; but it turned out to be a public latrine. ~ Petr Beckmann,

IN CHAPTERS [86/86]



   25 Integral Yoga
   13 Fiction
   9 Poetry
   5 Philosophy
   4 Christianity
   3 Integral Theory
   2 Psychology
   2 Philsophy
   1 Hinduism
   1 Alchemy


   20 Sri Aurobindo
   13 H P Lovecraft
   7 Nolini Kanta Gupta
   5 The Mother
   5 Satprem
   5 A B Purani
   4 Walt Whitman
   3 Pierre Teilhard de Chardin
   2 Ralph Waldo Emerson
   2 Jorge Luis Borges
   2 Jordan Peterson
   2 Friedrich Nietzsche


   13 Lovecraft - Poems
   7 Savitri
   5 Evening Talks With Sri Aurobindo
   4 Whitman - Poems
   4 The Secret Doctrine
   3 The Phenomenon of Man
   3 The Human Cycle
   3 Collected Works of Nolini Kanta Gupta - Vol 07
   2 Twilight of the Idols
   2 The Life Divine
   2 On the Way to Supermanhood
   2 Maps of Meaning
   2 Emerson - Poems
   2 Collected Works of Nolini Kanta Gupta - Vol 02


000 - Humans in Universe, #Synergetics - Explorations in the Geometry of Thinking, #R Buckminster Fuller, #Science
  guesswork that had previously been used in naval and land Architecture. This
  capability in mathematical multiplication and division opened up a whole new field

01.03 - The Yoga of the King - The Yoga of the Souls Release, #Savitri, #Sri Aurobindo, #Integral Yoga
  A crown of the Architecture of the worlds,
  A mystery of married Earth and Heaven

0 1962-10-27, #Agenda Vol 03, #The Mother, #Integral Yoga
   The first zone you encounter is the zone of painting, sculpture, Architecture: everything that has a material form. It is the zone of forms, colored forms that are expressed as paintings, sculptures, and Architecture. They are not forms as we know them, but rather typal forms; you can see garden types, for instance, wonderfully colored and beautiful, or construction types.
   Then comes the musical zone, and there you find the origin of the sounds that have inspired the various composers. Great waves of music, without sound. It seems a bit strange, but thats how it is.
  --
   Thus we have form, expressed in painting, sculpture or Architecture; sound, expressed in musical themes; and thought, expressed in books, plays, novels, or even in philosophical and other kinds of intellectual theories (thats where you can send out ideas that will affect the whole world, because they influence receptive brains in any land, and are expressed by corresponding thoughts in the appropriate language). And above this zone, free of form, sound and though, is the play of forces appearing as colored lights. And when you go there and have the power, you can combine those forces so that they eventually materialize as creations on earth (it takes some time, its rarely immediate).
   But those great waves of music you hear, which you said were beyond soundsare they part of that domain of luminous vibrations?

0 1965-06-23, #Agenda Vol 06, #The Mother, #Integral Yoga
   The international section We have already approached a number of ambassadors and countries so each country would have its pavilion there: a pavilion for every country (that was my old idea); some have already accepted, anyhow its under way. Each pavilion has its own garden with, as far as possible, a selection of the plants and produce of the country represented. If they have enough money and space, they can also have a sort of small museum or permanent exhibition of the achievements of the country. And the pavilion should be built according to the Architecture of the country represented: it should be like a document of information. Then depending on the amount of money they want to put in, they can also have quarters for students, conference rooms, etc., the countrys cuisine, a restaurant of the country they can have all sorts of developments.
   Image 2

0 1967-02-18, #Agenda Vol 08, #The Mother, #Integral Yoga
   The nature (of Mother) was rather shy, and as a matter of fact, there wasnt much confidence in the personal capacity (although there was the sense of being able to do anything, if the need arose). Till the age of twenty or twenty-one I spoke very little, and never, never anything like a speech. I wouldnt take part in conversations: I would listen, but speak very little. Then I was put in touch with Abdul Baha (the Bahai), who was then in Paris, and a sort of intimacy grew between us. I used to go to his gatherings because I was interested. And one day (when I was in his room), he said to me, I am sick, I cant speak; go and speak for me. I said, Me! But I dont speak. He replied, You just have to go there, sit quietly and concentrate, and what you have to say will come to you. Go and do it, you will see. Well then (laughing), I did as he said. There were some thirty or forty people. I went and sat in their midst, stayed very still, and then I sat like that, without a thought, nothing, and suddenly I started speaking. I spoke to them for half an hour (I dont even know what I told them), and when it was over everybody was quite pleased. I went to find Abdul Baha, who told me, You spoke admirably. I said, It wasnt me! And from that day (I had got the knack from him, you understand!), I would stay like that, very still, and everything would come. Its especially the sense of the I that must be lost thats the great art in everything, for everything, for everything you do: for painting, for (I did painting, sculpture, Architecture even, I did music), for everything, but everything, if you are able to lose the sense of the I, then you open yourself to to the knowledge of the thing (sculpture, painting, etc.). Its not necessarily beings, but the spirit of the thing that uses you.
   Well, I think it should be the same thing with language. One should be tuned in to someone in that way, or through that someone to something still higher: the Origin. And then, very, very passive. But not inertly passive: vibrantly passive, receptive, like that, attentive, letting that come in and be expressed. The result would be there to see. As I said, we are limited by what we know, but that may be because were still too much of a person; if we could be perfectly plastic it might be different: there have been instances of people speaking in a language they didnt know, consequently

02.03 - The Glory and the Fall of Life, #Savitri, #Sri Aurobindo, #Integral Yoga
  In an Architecture of hieratic Space
  Circling and mounting towards creation's tops,

02.08 - The World of Falsehood, the Mother of Evil and the Sons of Darkness, #Savitri, #Sri Aurobindo, #Integral Yoga
  Of the Architecture of her fate's design,
  It falsified the primal cosmic Will

02.10 - The Kingdoms and Godheads of the Little Mind, #Savitri, #Sri Aurobindo, #Integral Yoga
  Too large for the Architecture of mortal Space.
  69.6

03.02 - The Philosopher as an Artist and Philosophy as an Art, #Collected Works of Nolini Kanta Gupta - Vol 02, #Nolini Kanta Gupta, #Integral Yoga
   In the face of established opinion and tradition (and in the wake of the prophetic poet) I propose to demonstrate that Philosophy has as much claim to be called an art, as any other orthodox art, painting or sculpture or music or Architecture. I do not refer to the element of philosophyperhaps the very large element of philosophy that is imbedded and ingrained in every Art; I speak of Philosophy by itself as a distinct type of au thentic art. I mean that Philosophy is composed or created in the same way as any other art and the philosopher is moved and driven by the inspiration and impulsion of a genuine artist. Now, what is Art? Please do not be perturbed by the question. I am not trying to enter into the philosophy the metaphysicsof it, but only into the science the physicsof it. Whatever else it may be, the sine qua non, the minimum requisite of art is that it must be a thing of beauty, that is to say, it must possess a beautiful form. Even the Vedic Rishi says that the poet by his poetic power created a heavenly formkavi kavitva divi rpam asajat. As a matter of fact, a supreme beauty of form has often marked the very apex of artistic creation. Now, what does the Philosopher do? The sculptor hews beautiful forms out of marble, the poet fashions beautiful forms out of words, the musician shapes beautiful forms out of sounds. And the philosopher? The philosopher, I submit, builds beautiful forms out of thoughts and concepts. Thoughts and concepts are the raw materials out of which the artist philosopher creates mosaics and patterns and designs architectonic edifices. For what else are philosophic systems? A system means, above all, a form of beauty, symmetrical and harmonious, a unified whole, rounded and polished and firmly holding together. Even as in Art, truth, bare sheer truth is not the object of philosophical inquiry either. Has it not been considered sufficient for a truth to be philosophically true, if it is consistent, if it does not involve self-contradiction? The equation runs: Truth=Self-consistency; Error=Self-contradiction. To discover the absolute truth is not the philosopher's taskit is an ambitious enterprise as futile and as much of a my as the pursuit of absolute space, absolute time or absolute motion in Science. Philosophy has nothing more to doand nothing lessthan to evolve or build up a system, in other words, a self-consistent whole (of concepts, in this case). Art also does exactly the same thing. Self-contradiction means at bottom, want of harmony, balance, symmetry, unity, and self-consistency means the contrary of these things the two terms used by philosophy are only the logical formulation of an essentially aesthetic value.
   Take, for example, the philosophical system of Kant or of Hegel or of our own Shankara. What a beautiful edifice of thought each one has reared! How cogent and compact, organised and poised and finely modelled! Shankara's reminds me of a tower, strong and slender, mounting straight and tapering into a vanishing point among the clouds; it has the characteristic linear movement of Indian melody. On the otherhand, the march of the Kantian Critiques or of the Hegelian Dialectic has a broader base and involves a composite strain, a balancing of contraries, a blending of diverse notes: thereis something here of the amplitude and comprehensiveness of harmonic Architecture (without perhaps a corresponding degree of altitude).
   All these systems, commonly called philosophical, appear to me supremely artistic. The logical intellect has worked here exactly like a chisel or a brush in the hands of the artist. It did not care for truth per se, its prime preoccupation was arrangement, disposition; the problem it set before itself was how best to present a consistent and unified, that is to say, a beautiful whole.

03.09 - Buddhism and Hinduism, #Collected Works of Nolini Kanta Gupta - Vol 02, #Nolini Kanta Gupta, #Integral Yoga
   Varying the metaphor we may say again that Buddhism rises sheer in its monolithic structure, an Asokan pillar towering in its linear movement; Hinduism has its towers, but they are part of a vast Architecture, spread out on ample and chequered grounds-even like a temple city.
   II

04.02 - The Growth of the Flame, #Savitri, #Sri Aurobindo, #Integral Yoga
  The Architecture of the Infinite
  

06.02 - The Way of Fate and the Problem of Pain, #Savitri, #Sri Aurobindo, #Integral Yoga
  It is an Architecture high and grand
  By many named and nameless masons built

07.42 - The Nature and Destiny of Art, #Collected Works of Nolini Kanta Gupta - Vol 03, #Nolini Kanta Gupta, #Integral Yoga
   In ancient times, in the great ages, in Greece, for example or even during the Italian Renaissance, particularly, however, in Greece and in Egypt, they erected buildings, constructed monuments for the sake of public utility. Their buildings were meant for the most part to be temples, sanctuaries to lodge their gods and deities. What they had in view was something total, whole and entire, beautiful and complete in itself. That was the purpose of Architecture embodying the harmony of sweeping and majestic lines: sculpture was a part of Architecture supplying details of expression and even painting came up to complete the expression: but the whole held together in a coordinated unity which was the monument itself. The sculpture was for the monument, the painting was for the monument; it was not that each was separate from the other and existed for itself and one did not know why it was there. In India, when a temple was being built, for example, what was aimed at was a total creation, all the parts combined to give effect to one end, to make a beautiful vesture for God, the one object of their adoration. All the great epochs of art were of this kind. But in modern times, in the latter part of the last century, Art' became a matter of business. A painting was done in order to be sold. You do your paintings, put each one in a frame and place them side by side or group them, that is, lump them together without much reason. The same with regard to sculpture. You make a statue and set it up anywhere without any connection whatsoever with the surroundings. It is always something foreign, extraneous in its setting, like a mushroom or a parasite. The thing in itself may not be quite ugly, but it is out of place, it is not part of an organic whole. We exhibit art today. Indeed, it is exhibitionism, it is the showing off of cleverness, talent, skill, virtuosity. A piece of Architecture does not incarnate a living force as it used to do once upon a time. It is no longer the expression of an aspiration, of something that uplifts the spirit nor the expression of the magnificence of the Divine whose dwelling it is meant to be. You build houses here and there pell-mell or somehow juxtaposed without any coordinating idea governing them, without any relation to the environment where they are situated. When you enter a house, it is the same thing. A bit of painting here, a bit of sculpture there, some objects of art in one corner, a few others in another. Yes, it is an exhibition, a museum, a kaleidoscopic collection. It gives a shock to the truly sensitive artistic taste.
   I do not say that a museum is not necessary or useful. It is a good means of education, that is to say, getting information about what other people or other epochs did. It is an aid to the historic knowledge of things. But it is far from being artistic. A museum is not the place where art can find its highest or its true expression. There is an art which seeks to coordinate, integrate distinct, discrete, contrary objects. It is called decorative art. And in so far as this art is successful, we are a step forward even in these days towards true art.

10.04 - The Dream Twilight of the Earthly Real, #Savitri, #Sri Aurobindo, #Integral Yoga
  These polities, Architectures of man's brain,
  That, bricked with evil and good, wall in man's spirit

1.01 - Adam Kadmon and the Evolution, #Preparing for the Miraculous, #George Van Vrekhem, #Integral Yoga
  tive approach to Architecture, sculpture, and literature. The
  purpose of all human creation was not only to preserve the

1.01 - Economy, #Walden, and On The Duty Of Civil Disobedience, #Henry David Thoreau, #Philosophy
  It would be worth the while to build still more deliberately than I did, considering, for instance, what foundation a door, a window, a cellar, a garret, have in the nature of man, and perchance never raising any superstructure until we found a better reason for it than our temporal necessities even. There is some of the same fitness in a mans building his own house that there is in a birds building its own nest. Who knows but if men constructed their dwellings with their own hands, and provided food for themselves and families simply and honestly enough, the poetic faculty would be universally developed, as birds universally sing when they are so engaged? But alas! we do like cowbirds and cuckoos, which lay their eggs in nests which other birds have built, and cheer no traveller with their chattering and unmusical notes. Shall we forever resign the pleasure of construction to the carpenter? What does Architecture amount to in the experience of the mass of men? I never in all my walks came across a man engaged in so simple and natural an occupation as building his house. We belong to the community. It is not the tailor alone who is the ninth part of a man; it is as much the preacher, and the merchant, and the farmer.
  Where is this division of labor to end? and what object does it finally serve? No doubt another _may_ also think for me; but it is not therefore desirable that he should do so to the exclusion of my thinking for myself.
  True, there are architects so called in this country, and I have heard of one at least possessed with the idea of making architectural ornaments have a core of truth, a necessity, and hence a beauty, as if it were a revelation to him. All very well perhaps from his point of view, but only a little better than the common dilettantism. A sentimental reformer in Architecture, he began at the cornice, not at the foundation. It was only how to put a core of truth within the ornaments, that every sugar plum in fact might have an almond or caraway seed in it,though I hold that almonds are most wholesome without the sugar, and not how the inhabitant, the indweller, might build truly within and without, and let the ornaments take care of themselves. What reasonable man ever supposed that ornaments were something outward and in the skin merely,that the tortoise got his spotted shell, or the shellfish its mother-o-pearl tints, by such a contract as the inhabitants of Broadway their Trinity Church? But a man has no more to do with the style of Architecture of his house than a tortoise with that of its shell: nor need the soldier be so idle as to try to paint the precise color of his virtue on his standard. The enemy will find it out. He may turn pale when the trial comes. This man seemed to me to lean over the cornice, and timidly whisper his half truth to the rude occupants who really knew it better than he. What of architectural beauty I now see, I know has gradually grown from within outward, out of the necessities and character of the indweller, who is the only builder,out of some unconscious truthfulness, and nobleness, without ever a thought for the appearance and whatever additional beauty of this kind is destined to be produced will be preceded by a like unconscious beauty of life. The most interesting dwellings in this country, as the painter knows, are the most unpretending, humble log huts and cottages of the poor commonly; it is the life of the inhabitants whose shells they are, and not any peculiarity in their surfaces merely, which makes them _picturesque;_ and equally interesting will be the citizens suburban box, when his life shall be as simple and as agreeable to the imagination, and there is as little straining after effect in the style of his dwelling. A great proportion of architectural ornaments are literally hollow, and a September gale would strip them off, like borrowed plumes, without injury to the substantials. They can do without _ Architecture_ who have no olives nor wines in the cellar. What if an equal ado were made about the ornaments of style in literature, and the architects of our bibles spent as much time about their cornices as the architects of our churches do? So are made the _belles-lettres_ and the _beaux-arts_ and their professors.
  Much it concerns a man, forsooth, how a few sticks are slanted over him or under him, and what colors are daubed upon his box. It would signify somewhat, if, in any earnest sense, _he_ slanted them and daubed it; but the spirit having departed out of the tenant, it is of a piece with constructing his own coffin,the Architecture of the grave, and
  carpenter is but another name for coffin-maker. One man says, in his despair or indifference to life, take up a handful of the earth at your feet, and paint your house that color. Is he thinking of his last and narrow house? Toss up a copper for it as well. What an abundance of leisure he must have! Why do you take up a handful of dirt? Better paint your house your own complexion; let it turn pale or blush for you. An enterprise to improve the style of cottage Architecture! When you have got my ornaments ready I will wear them.
  Before winter I built a chimney, and shingled the sides of my house, which were already impervious to rain, with imperfect and sappy shingles made of the first slice of the log, whose edges I was obliged to straighten with a plane.
  --
  I certain it is desirable that there should be. However, _I_ should never have broken a horse or bull and taken him to board for any work he might do for me, for fear I should become a horse-man or a herds-man merely; and if society seems to be the gainer by so doing, are we certain that what is one mans gain is not anothers loss, and that the stable-boy has equal cause with his master to be satisfied? Granted that some public works would not have been constructed without this aid, and let man share the glory of such with the ox and horse; does it follow that he could not have accomplished works yet more worthy of himself in that case? When men begin to do, not merely unnecessary or artistic, but luxurious and idle work, with their assistance, it is inevitable that a few do all the exchange work with the oxen, or, in other words, become the slaves of the strongest. Man thus not only works for the animal within him, but, for a symbol of this, he works for the animal without him. Though we have many substantial houses of brick or stone, the prosperity of the farmer is still measured by the degree to which the barn overshadows the house. This town is said to have the largest houses for oxen, cows, and horses hereabouts, and it is not behindh and in its public buildings; but there are very few halls for free worship or free speech in this county. It should not be by their Architecture, but why not even by their power of abstract thought, that nations should seek to commemorate themselves? How much more admirable the Bhagvat-Geeta than all the ruins of the East! Towers and temples are the luxury of princes. A simple and independent mind does not toil at the bidding of any prince. Genius is not a retainer to any emperor, nor is its material silver, or gold, or marble, except to a trifling extent. To what end, pray, is so much stone hammered? In
  Arcadia, when I was there, I did not see any hammering stone. Nations are possessed with an insane ambition to perpetuate the memory of themselves by the amount of hammered stone they leave. What if equal pains were taken to smooth and polish their manners? One piece of good sense would be more memorable than a monument as high as the moon. I love better to see stones in place. The grandeur of Thebes was a vulgar grandeur. More sensible is a rod of stone wall that bounds an honest mans field than a hundred-gated Thebes that has wandered farther from the true end of life. The religion and civilization which are barbaric and hea thenish build splendid temples; but what you might call

1.01 - The Cycle of Society, #The Human Cycle, #Sri Aurobindo, #Integral Yoga
  The tendency of the conventional age of society is to fix, to arrange firmly, to formalise, to erect a system of rigid grades and hierarchies, to stereotype religion, to bind education and training to a traditional and unchangeable form, to subject thought to infallible authorities, to cast a stamp of finality on what seems to it the finished life of man. The conventional period of society has its golden age when the spirit and thought that inspired its forms are confined but yet living, not yet altogether walled in, not yet stifled to death and petrified by the growing hardness of the structure in which they are cased. That golden age is often very beautiful and attractive to the distant view of posterity by its precise order, symmetry, fine social Architecture, the admirable subordination of its parts to a general and noble plan. Thus at one time the modern litterateur, artist or thinker looked back often with admiration and with something like longing to the mediaeval age of Europe; he forgot in its distant appearance of poetry, nobility, spirituality the much folly, ignorance, iniquity, cruelty and oppression of those harsh ages, the suffering and revolt that simmered below these fine surfaces, the misery and squalor that was hidden behind that splendid faade. So too the Hindu orthodox idealist looks back to a perfectly regulated society devoutly obedient to the wise yoke of the Shastra, and that is his golden age,a nobler one than the European in which the apparent gold was mostly hard burnished copper with a thin gold-leaf covering it, but still of an alloyed metal, not the true Satya Yuga. In these conventional periods of society there is much indeed that is really fine and sound and helpful to human progress, but still they are its copper age and not the true golden; they are the age when the Truth we strive to arrive at is not realised, not accomplished,4 but the exiguity of it eked out or its full appearance imitated by an artistic form, and what we have of the reality has begun to fossilise and is doomed to be lost in a hard mass of rule and order and convention.
  For always the form prevails and the spirit recedes and diminishes. It attempts indeed to return, to revive the form, to modify it, anyhow to survive and even to make the form survive; but the time-tendency is too strong. This is visible in the history of religion; the efforts of the saints and religious reformers become progressively more scattered, brief and superficial in their actual effects, however strong and vital the impulse. We see this recession in the growing darkness and weakness of India in her last millennium; the constant effort of the most powerful spiritual personalities kept the soul of the people alive but failed to resuscitate the ancient free force and truth and vigour or permanently revivify a conventionalised and stagnating society; in a generation or two the iron grip of that conventionalism has always fallen on the new movement and annexed the names of its founders. We see it in Europe in the repeated moral tragedy of ecclesiasticism and Catholic monasticism. Then there arrives a period when the gulf between the convention and the truth becomes intolerable and the men of intellectual power arise, the great swallowers of formulas, who, rejecting robustly or fiercely or with the calm light of reason symbol and type and convention, strike at the walls of the prison-house and seek by the individual reason, moral sense or emotional desire the Truth that society has lost or buried in its whited sepulchres. It is then that the individualistic age of religion and thought and society is created; the Age of Protestantism has begun, the Age of Reason, the Age of Revolt, Progress, Freedom. A partial and external freedom, still betrayed by the conventional age that preceded it into the idea that the Truth can be found in outsides, dreaming vainly that perfection can be determined by machinery, but still a necessary passage to the subjective period of humanity through which man has to circle back towards the recovery of his deeper self and a new upward line or a new revolving cycle of civilisation.

1.01 - The Ideal of the Karmayogin, #Essays In Philosophy And Yoga, #Sri Aurobindo, #Integral Yoga
  NATION is building in India today before the eyes of the world so swiftly, so palpably that all can watch the process and those who have sympathy and intuition distinguish the forces at work, the materials in use, the lines of the divine Architecture. This nation is not a new race raw from the workshop of Nature or created by modern circumstances.
  One of the oldest races and greatest civilisations on this earth, the most indomitable in vitality, the most fecund in greatness, the deepest in life, the most wonderful in potentiality, after taking into itself numerous sources of strength from foreign strains of blood and other types of human civilisation, is now seeking to lift itself for good into an organised national unity.

1.02 - The Three European Worlds, #The Ever-Present Origin, #Jean Gebser, #Integral
  The transformation of European sensitivity to, and comprehension of, the world is nowhere more clearly discernible than in painting and Architecture. Only our insight into this transformation can lead to a proper understanding of the nature and meaning of new styles and forms of expression.
  Restricting ourselves here primarily to the art of the Christian era, we can distinguish two major self-contained epochs among the many artistic styles, followed today by an incipient third. The first encompasses the era up to the Renaissance, the other, now coming to a close, extends up to the present. The decisive and distinguishing characteristic of these epochs is the respective absence or presence of perspective; consequently we shall designate the first era as the "unperspectival," the second as the "perspectival," and the currently emerging epoch as the "aperspectival."'
  --
  Man's lack of spatial awareness is attended by a lack of ego-consciousness, since in order to objectify and qualify space, a self-conscious "I" is required that is able to stand opposite or confront space, as well as to depict or represent it by projecting it out of his soul or psyche. In this light, Worringer's statements regarding the lack of all space consciousness in Egyptian art are perfectly valid: "Only in the rudimentary form of prehistorical space and cave magic does space have a role in Egyptian Architecture . . . . The Egyptians were neutral and indifferent toward space . . . . They were not even potentially aware of spatiality. Their experience was not trans-spatial but pre-spatial; . . . their culture of oasis cultivation was spaceless . . . . Their culture knew only spatial limitations and enclosures in Architecture but no inwardness or interiority as such. Just as their engraved reliefs lacked shadow depth, so too was their Architecture devoid of special depth. The third dimension, that is the actual dimension of life's tension and polarity, was experience not as a quality but as a mere quantity. How then was space, the moment of depth-seeking extent, to enter their awareness as an independent quality apart from all corporality? . . . The Egyptians lacked utterly any spatial consciousness."
  Despite, or indeed because of, Euclidean geometry, there is no evidence of an awareness of qualitative and objectified space in early antiquity or in the epoch preceding the Renaissance.
  This has been indirectly confirmed by von Kaschnitz-Weinberg, who has documented two opposing yet complementary structural elements of ancient art as it emerged from the Megalithic (stone) age. The first, Dolmen Architecture, entered the Mediterranean region primarily from Northern and Western Europe and was especially influential on Greek Architecture. It is phallic in nature and survives in the column Architecture in Greece, as in the Par thenon. Space is visible here simply as diastyle or the intercolumnar space, whose structure is determined by the vertical posts and the horizontal lintels and corresponds to Euclidean cubic space.
  The second structural element in von Kaschnitz-Weinbergs view is the uterine character of Grotto Architecture that entered the Mediterranean area from the Orient (mainly from Iran) and survives in Roman dome Architecture, as in the Pantheon or the Baths. Here space is merely a vault, a Grotto-space corresponding to the powerful cosmological conception of the Oriental matriarchal religions for, which the world itself is nothing but a vast cavern. It is of interest that Plato, in his famous allegory, was the first to describe man in the process of leaving the cave.
  We are then perhaps justified in speaking of the "space" of antiquity as undifferentiated space, as a simple inherence within the security of the maternal womb;. expressing an absence of any confrontation with actual, exterior space. The predominance of the two constitutive polar elements, the paternal phallic column and the maternal uterine cave the forces to which unperspectival man was subject reflects his inextricable relationship to his parental world and, consequently, his complete dependence on it which excluded any awareness of an ego in our modern sense. He remains sheltered and enclosed in the world of the "we" where outer objective space is still non-existent.
  The two polar elements which made up the spaceless foundation of the ancient world were first united and creatively amalgamated in Christian ecclesiastical Architecture. (The symbolic content of these elements does not, as we will see later emphasize the sexual, but rather the psychical and mythical aspects.) Their amalgamation subsequently gives rise to the Son of Man; the duality of the column and tower, the vault and dome of Christian church Architecture made feasible for the first time the trinity represented by the son-as-man, the man who will create his own space.
  Understood in this light, it is not surprising that around the time of Christ the world of late antiquity shows distinct signs of incipient change. The boldness and incisive nature of this change is evident when we examine the Renaissance era that begins around 1250 A.D. and incorporates stylistic elements that first appear around the time of Christ. We refer, of course, to the first intimations of a perspectival conception of space found in the murals of Pompeii.
  --
  It is this same shape - the ellipse - which Michelangelo introduces into Architecture via his dome of St. Peters, which is elliptical and not round or suggestive of the cavern or vault.
  Here, too, we find a heightened sense of spatiality at the expense of antiquity's feeling of oceanic space. Galileo penetrates even deeper into space by perfecting the telescope, discovered only shortly before in Holland, and employing it for astronomical studies preparations for man's ultimate conquest of air and suboceanic space that came later and realized the designs already conceived and drawn up in advance by Leonardo.

1.03 - THE EARTH IN ITS EARLY STAGES, #The Phenomenon of Man, #Pierre Teilhard de Chardin, #Christianity
  narrow limit to the internal Architecture of its elements. Accord-
  ing to latest estimates, we have found only a few hundred silicates

1.04 - The Divine Mother - This Is She, #Twelve Years With Sri Aurobindo, #Nirodbaran, #Integral Yoga
  Take, for instance, the construction of Golconde. I am not going to enter into an elaborate description of its development. Considering that our resources in men and money were then limited, how such a magnificent building was erected is a wonder. An American architect with his Japanese and Czechoslovakian assistants foregathered. Old buildings were demolished, our sadhaks along with the paid workers laboured night and day and as if from a void, the spectacular mansion rose silently and slowly like a giant in the air. It is a story hardly believable for Pondicherry of those days. But my wonder was at the part the Mother played in it, not inwardly which is beyond my depth but in the daylight itself. She was in constant touch with the work through her chosen instruments. As many sadhaks as possible were pressed into service there; to anyone young or old asking for work, part time, whole time, her one cry: "Go to Golconde, go to Golconde." It was one of her daily topics with Sri Aurobindo who was kept informed of the difficulties, troubles innumerable, and at the same time, of the need of his force to surmount "them. Particularly when rain threatened to impede or spoil some important part of the work, she would invoke his special help: for instance, when the roof was to be built. How often we heard her praying to Sri Aurobindo, "Lord, there should be no rain now." Menacing clouds had mustered strong, stormy west winds blowing ominously, rain imminent, and torrential Pondicherry rain! We would look at the sky and speculate on the result of the fight between the Divine Force and the natural force. The Divine Force would of course win: slowly the Fury would leash her forces and withdraw into the cave. But as soon as the intended object was achieved, a deluge swept down as if in revenge. Sri Aurobindo observed that that was often the rule. During the harvesting season too, S.O.S. signals would come to Sri Aurobindo through the Mother to stop the rain. He would smile and do his work silently. If I have not seen any other miracle, I can vouch for this one repeated more than once. During the roof-construction, work had to go on all night long and the Mother would mobilise and marshal all the available Ashram hands and put them there. With what cheer and ardour our youth jumped into the fray at the call of the Mother, using often Sri Aurobindo's name to put more love and zeal into the strenuous enterprise! We felt the vibration of a tremendous energy driving, supporting, inspiring the entire collective body. This was how Golconde, an Ashram guest house, was built, one of the wonders of modern Architecture lavishly praised by many visitors. Let me quote the relevant portion of a letter from Sri Aurobindo, written in 1945 with regard to Golconde:
  "...It is on this basis that she (Mother) planned the Golconde. First, she wanted a high architectural beauty, and in this she succeeded architects and people with architectural knowledge have admired it with enthusiasm as a remarkable achievement; one spoke of it as the finest building of its kind he had seen, with no equal in all Europe or America; and a French architect, pupil of a great master, said it executed superbly the idea which his master had been seeking for but failed to realise..."2

1.05 - Adam Kadmon, #A Garden of Pomegranates - An Outline of the Qabalah, #Israel Regardie, #Occultism
  Memory is the very stuff of consciousness itself. It is, to use a figure of speech, the mortar of the Architecture of the mind, that integrating faculty binding together all the various sensations and impressions. The Will is a colour- less principle moved by, and comparable to, desire. It is the power of the spiritual Self in action. In ordinary life it is not, as it should be, the servant of the man, but rules him with a rod of iron binding him to those very things from which he essays to escape.
  Imagination is a faculty much misunderstood, most people thinking of it as sheer fantasy used in day-dreaming.

1.05 - Ritam, #Vedic and Philological Studies, #Sri Aurobindo, #Integral Yoga
  The second verse neither confirms as yet nor contradicts this initial suggestion. These three great gods, it says, are to the mortal as a multitude of arms which bring to him his desires & fill him with an abundant fullness and protect him from any who may will to do him hurt, rishah; fed with that fullness he grows until he is sarvah, complete in every part of his being(that is to say, if we admit the sense of a spiritual protection and a spiritual activity, in knowledge, in power, in joy, in mental, vital & bodily fullness)and by the efficacy of that protection he enjoys all this fullness & completeness unhurt. No part of it is maimed by the enemies of man, whose activities do him hurt, the Vritras, Atris, Vrikas, the Coverer on the heights, the devourer in the night, the tearer on the path.We may note in passing how important [it] is to render every Vedic word by its exact value; rish & dwish both mean enemy; but if we render them by one word, we lose the fine shade of meaning to which the poet himself calls our attention by the collocation pnti rishaharishta edhate. We see also the same care of style in the collocation sarva edhate, where, as it seems to me, it is clearly suggested that the completeness is the result of the prosperous growth, we have again the fine care & balance with which the causes pipratipnti are answered by the effects arishtahedhate. There is even a good literary reason of great subtlety & yet perfect force for the order of the words & the exact place of each word in the order. In this simple, easy & yet faultless balance & symmetry a great number of the Vedic hymns represent exactly in poetry the same spirit & style as the Greek temple or the Greek design in Architecture & painting. Nor can anyone who neglects to notice it & give full value to it, catch rightly, fully & with precision the sense of the Vedic writings.
  In the third verse we come across the first confirmation of the spiritual purport of the hymn. The protected of Varuna, Mitra & Aryama the plural is now used to generalise the idea more decisivelyare travellers to a moral & spiritual goal, nayanti durit tirah. It follows that the durgni, the obstacles in the path are moral & spiritual obstacles, not material impediments. It follows equally that the dwishah, the haters, are spiritual enemies, not human; for there would be no sense or appropriateness in the scattering of human enemies by Varuna as a condition of the seeker after Truth & Rights reaching a state of sinlessness. It is the spiritual, moral & mental obstacles, the spiritual beings & forces who are opposed to the souls perfection, Brahmadwishah, whom Varuna, Mitra & Aryama remove from the path of their worshippers. They smite them & scatter them utterly, vi durg vi dwishah,the particle twice repeated in order to emphasise the entire clearance of the path; they scatter them in front,not allowing even the least struggle to be engaged before their intervention, but going in front of the worshippers & maintaining a clear way, suga anrikshara, in which they can pass not only without hurt, but without battle. The image of the sins, the durit is that of an army besetting the way which is scattered to all sides by the divine vanguard & is compelled beyond striking distance. The armed pilgrims of the Right pass on & through & not an arrow falls across their road. The three great Kings of heaven & their hosts, rjnah, have passed before & secured the great passage for the favoured mortal.

1.05 - THE HOSTILE BROTHERS - ARCHETYPES OF RESPONSE TO THE UNKNOWN, #Maps of Meaning, #Jordan Peterson, #Psychology
  No discussion of the Architecture of belief can possibly be considered complete in the absence of
  reference to evil. Evil is no longer a popular word, so to speak the term is generally considered oldfashioned, not applicable in a society that has theoretically dispensed with its religious preoccupations.

1.09 - Legend of Lakshmi, #Vishnu Purana, #Vyasa, #Hinduism
  [10]: Or Vārttā, explained to mean the Śilpa śāstra, mechanics, sculpture, and Architecture; Āyur-veda, medicine, &c.
  [11]: The cause of this, however, is left unexplained. The Padma P. inserts a legend to account for the temporary separation of Lakṣmī from Viṣṇu, which appears to be peculiar to that work. Bhrigu was lord of Lakṣmīpur, a city on the Narmadā, given him by Brahmā. His daughter Lakṣmī instigated her husband to request its being conceded to her, which offending Bhrigu, he cursed Viṣṇu to be born upon earth ten times, to be separated from his wife, and to have no children. The legend is an insipid modern embellishment.

1.09 - SKIRMISHES IN A WAY WITH THE AGE, #Twilight of the Idols, #Friedrich Nietzsche, #Philosophy
  a visible form. Architecture is a sort of oratory of power by means
  of forms. Now it is persuasive, even flattering, and at other times

1.10 - Aesthetic and Ethical Culture, #The Human Cycle, #Sri Aurobindo, #Integral Yoga
  On the other hand, we are tempted to give the name of a full culture to all those periods and civilisations, whatever their defects, which have encouraged a freely human development and like ancient Athens have concentrated on thought and beauty and the delight of living. But there were in the Athenian development two distinct periods, one of art and beauty, the Athens of Phidias and Sophocles, and one of thought, the Athens of the philosophers. In the first period the sense of beauty and the need of freedom of life and the enjoyment of life are the determining forces. This Athens thought, but it thought in the terms of art and poetry, in figures of music and drama and Architecture and sculpture; it delighted in intellectual discussion, but not so much with any will to arrive at truth as for the pleasure of thinking and the beauty of ideas. It had its moral order, for without that no society can exist, but it had no true ethical impulse or ethical type, only a conventional and customary morality; and when it thought about ethics, it tended to express it in the terms of beauty, to kalon, to epieikes, the beautiful, the becoming. Its very religion was a religion of beauty and an occasion for pleasant ritual and festivals and for artistic creation, an aesthetic enjoyment touched with a superficial religious sense. But without character, without some kind of high or strong discipline there is no enduring power of life. Athens exhausted its vitality within one wonderful century which left it enervated, will-less, unable to succeed in the struggle of life, uncreative. It turned indeed for a time precisely to that which had been lacking to it, the serious pursuit of truth and the evolution of systems of ethical self-discipline; but it could only think, it could not successfully practise. The later Hellenic mind and Athenian centre of culture gave to Rome the great Stoic system of ethical discipline which saved her in the midst of the orgies of her first imperial century, but could not itself be stoical in its practice; for to Athens and to the characteristic temperament of Hellas, this thought was a straining to something it had not and could not have; it was the opposite of its nature and not its fulfilment.
  This insufficiency of the aesthetic view of life becomes yet more evident when we come down to its other great example, Italy of the Renascence. The Renascence was regarded at one time as pre-eminently a revival of learning, but in its Mediterranean birth-place it was rather the efflorescence of art and poetry and the beauty of life. Much more than was possible even in the laxest times of Hellas, aesthetic culture was divorced from the ethical impulse and at times was even anti-ethical and reminiscent of the licence of imperial Rome. It had learning and curiosity, but gave very little of itself to high thought and truth and the more finished achievements of the reason, although it helped to make free the way for philosophy and science. It so corrupted religion as to provoke in the ethically minded Teutonic nations the violent revolt of the Reformation, which, though it vindicated the freedom of the religious mind, was an insurgence not so much of the reason,that was left to Science,but of the moral instinct and its ethical need. The subsequent prostration and loose weakness of Italy was the inevitable result of the great defect of its period of fine culture, and it needed for its revival the new impulse of thought and will and character given to it by Mazzini. If the ethical impulse is not sufficient by itself for the development of the human being, yet are will, character, self-discipline, self-mastery indispensable to that development. They are the backbone of the mental body.

1.10 - Harmony, #On the Way to Supermanhood, #Satprem, #Integral Yoga
  And the bubble grows. It takes in families, peoples, continents; it takes in every color, every wisdom, every truth, and envelops them. There is that breath of light, that note of beauty, the miracle of those few lines caught in Architecture or geometry, that instant of truth that heals and delivers, that lovely curve glimpsed in a flash which links that star to this destiny, this asymptote to that hyperbola, this man to that song, this gesture to that effect and more men come, men by the thousands, who come puffing and inflating the little bubble, creating pink and blue and everlasting religions, infallible salvations in the great bubble, summits of light that are the sum of their compounded little hopes, abysses of hell that are the sum of their cherished fears; who come adding this note and that idea, this grain of knowledge and that healing second, this conjunction and that curve, that moment of effectiveness beneath the dust of the myriads of galaxies, chromatic temples, devising unquestionable medicines under the great bubble, irreducible sciences, implacable geometries, charts of illness, charts of recovery, charts of destiny. And everything twists and turns as the doctor willed it under the great fateful Bubble, as the scientist willed it, as that moment of coincidence among the countless myriads of lines in the universe has decided it for the eternity of time. We have seized a minute of the world and made it into the huge amber light that blinds and suffocates us in the great mental bubble. And there is nothing of the kind not one single law, not one single illness, not one single medical or scientific dogma, not one single temple is true,, not one perpetual chart, not one single destiny under the stars there is a tremendous mental hypnotism, and behind, far, far behind, and yet right here, so much here, immediately here, something impregnable, unseizable by any snare, unrestricted by any law, invulnerable to every illness and every hypnotism, unsaved by our salvations, unsullied by our sins, unsullied by our virtues, free from every destiny and every chart, from every golden or black bubble a pure, infallible bird that can recreate the world in the twinkling of an eye. We change our look, and everything changes. Gone is the pretty bubble. It is here if we want.
  When the bubble bursts, we begin to enter supermanhood. We begin to enter Harmony. Oh, it does not burst through our efforts; it does not give way through any amount of virtues and meditation, which on the contrary further harden the bubble, give it such a lovely shine, such a captivating light that it indeed takes us captive, and we are all the more prisoners as the more beautiful the bubble is, held more captive by our good than by our evil there is nothing harder in the world then a truth caught in our traps; it does not care at all about our virtues and accumulated merits, our brilliant talents or even our obscure weaknesses. Who is great? Who is small and obscure, or less obscure, beneath the drifting of the galaxies that look like the dust of a great Sun? The Truth, the ineffable Sweetness of things and of each thing, the living Heart of millions of beings who do not know, does not require us to become true to bestow its truth upon us who could become true, who would become other than he is, what are we actually capable of? We are capable of pain and misery aplenty; we are capable of smallness and more smallness, error garbed in a speck of light, knowledge that stumbles into its own quagmires, a good that is the luminous shadow of its secret evil, freedom that imprisons itself in its own salvation we are capable of suffering and suffering, and even our suffering is a secret delight. The Truth, the light Truth, escapes our dark or luminous snares. It runs, breathes with the wind, cascades with the spring, cascades everywhere, for it is the spring of everything. It even murmurs in the depths of our falsehood, winks an eye in our darkness and pokes fun at us. It sets its light traps for us, so light we do not see them; it beckons us in a thousand ways at every instant and everywhere, but it is so fleeting, so unexpected, so contrary to our habitual way of looking at things, so unserious that we walk right past it. We cannot make head or tail out of it; or else we stick a beautiful label on it to trap it in our magic. And it still laughs. It plays along with our magic, plays along with our suffering and geometry; it plays the millipede and the statistician; it plays everything it plays whatever we want. Then, one day, we no longer really want; we no longer want any of all that, neither our gilded miseries, nor our captivating lights nor our good nor our evil, nor any of that whole polychromatic array in which each color changes into the other: hope into despair, effort into backlash, heaven into prison, summit into abyss, love into hate, and each wrested victory into a new defeat, as if each plus attracted its minus, each for its against, and everything forever went forward, backward, right and left, bumping into the wall of the same prison, white or black, green or brown, golden or less golden. We no longer want any of all that; we are only that cry of need in our depths, that call for air, that fire for nothing, that useless little flame that goes along with our every step, walks with our sorrows, walks and walks night and day, in good and evil, in the high and the low and everywhere. And this fire soon becomes like our drop of good in evil, our bit of treasure in misery, our glimmer of light in the chaos, all that remains of a thousand gestures and passing lights, the little nothing that is like everything, the tiny song of a great ongoing misery we no longer have any good or evil, any high or low, any light or darkness, any tomorrow or yesterday. It is all the same, miserable in black and white, but we have that abiding little fire, that tomorrow of today, that murmur of sweetness in the depths of pain, that virtue of our sin, that warm drop of being in the high and the low, day and night, in shame and in joy, in solitude and in the crowd, in approval and disapproval it is all the same. It burns and burns. It is tomorrow, yesterday, now and forever. It is our one song of being, our little note of fire, our paradise in a little flame, our freedom in a little flame, our knowledge in a little flame, our summit of flame in a void of being, our vastness in a tiny singing flame we know not why. It is our companion, our friend, our wife, our bearer, our country it is. And it feels good. Then, one day, we raise our head, and there is no more bubble. There is that Fire burning softly everywhere, recognizing all, loving all, understanding all, and it is like a heaven without trouble; it is so simple that we never thought of it, so tranquil that each drop is like an ocean, so smiling and clear that it goes through everything, enters and slips in everywhere it plays here, plays there, as transparent as air, a nothing that changes everything; and perhaps it is everything.
  --
  And the Rhythm, the great Rhythm, was scattered, broken up, pulverized to enter the heart of its world and make itself the size of the millipede or a little leaf quivering in the wind, to make itself understood by a brain, loved by a passerby. We have drawn from it syncopated music, multicolored pictures, joys, sorrows, since we could no longer contain its whole, unbroken flow. We have made it into equations, poems, Architecture; we have trapped it in our machines, locked it in an amulet or a thought, since we could no longer bear the pressure of its great direct flow. And we have made dungeons, hells, which were the absence of that rhythm, the lack of a lungful of eternal air, the suffocation of a little man who believes only in his suffering, only in the push buttons of his machine and the walls of his intelligence. We have graphed, multiplied, broken down, atomized to infinity; and we could no longer make out or understand anything, since we had lost the one little breath of the great breath, the one little sign of the great Direction, the little note that loves and understands all. And since we had closed everything around us, locked ourselves in a shell, armor-plated ourselves in our thinking logic, equipped ourselves with irrefutable helmets and antennas, we have declared that that Harmony, that Rhythm, did not exist, that it was far, far above, the paradise of our virtues, the crackling of our little antennas, the dream of a collective unconscious, the product of the evolved earthworm, the meeting of two enamored molecules like the savage of old who used to cut up the unknown lands, we have cut up space and time, thrown back into another geography the Ganges and El Dorados we have not yet crossed, the pretty fords of that little river. But that Ganges and that El Dorado are here, as well as many other marvels, many other currents of the great Current. All is here, under our feet, if we will only open the little shell and stop putting off until heaven or doomsday what sings in each minute of time and each pebble of space.
  This is the Harmony of the new world, the joy of the greater Self. It is here, instantly, if we want. All it takes is removing our blinders. All it takes is a true look, a simple look at the great world. All it takes is a little fire inside to consume all the shells and sufferings and bubbles for the only suffering is to be confined there.

1.13 - And Then?, #On the Way to Supermanhood, #Satprem, #Integral Yoga
  The superman has lost his small self, lost his small ideas of family and country, good and evil he has in effect no more ideas, or has them all, exactly when needed. And when one comes, it is carried out, very simply, because its time and moment have come. For him, ideas and feelings are simply the imperative translation of a movement of force a will-idea or force-idea which is expressed here by this gesture, there by that action or plan, this poem, that Architecture or cantata. But it is one and the same Force in different languages pictorial, musical, material or economic. He is tuned in to the Rhythm, and he translates according to his particular talent and place in the whole. He is a translator of the Rhythm.
  There every thought and feeling is an act,

1.14 - The Structure and Dynamics of the Self, #Aion, #Carl Jung, #Psychology
  tary building-stone in the Architecture of matter, the atom,
  which is an intellectual model. The alchemists describe the

1.14 - The Suprarational Beauty, #The Human Cycle, #Sri Aurobindo, #Integral Yoga
  This is especially evident in the two realms which in the ordinary scale of our powers stand nearest to the reason and on either side of it, the aesthetic and the ethical being, the search for Beauty and the search for Good. Mans seeking after beauty reaches its most intense and satisfying expression in the great creative arts, poetry, painting, sculpture, Architecture, but in its full extension there is no activity of his nature or his life from which it need or ought to be excluded,provided we understand beauty both in its widest and its truest sense. A complete and universal appreciation of beauty and the making entirely beautiful our whole life and being must surely be a necessary character of the perfect individual and the perfect society. But in its origin this seeking for beauty is not rational; it springs from the roots of our life, it is an instinct and an impulse, an instinct of aesthetic satisfaction and an impulse of aesthetic creation and enjoyment. Starting from the infrarational parts of our being, this instinct and impulse begin with much imperfection and impurity and with great crudities both in creation and in appreciation. It is here that the reason comes in to distinguish, to enlighten, to correct, to point out the deficiencies and the crudities, to lay down laws of aesthetics and to purify our appreciation and our creation by improved taste and right knowledge. While we are thus striving to learn and correct ourselves, it may seem to be the true law-giver both for the artist and the admirer and, though not the creator of our aesthetic instinct and impulse, yet the creator in us of an aesthetic conscience and its vigilant judge and guide. That which was an obscure and erratic activity, it makes self-conscious and rationally discriminative in its work and enjoyment.
  But again this is true only in restricted bounds or, if anywhere entirely true, then only on a middle plane of our aesthetic seeking and activity. Where the greatest and most powerful creation of beauty is accomplished and its appreciation and enjoyment rise to the highest pitch, the rational is always surpassed and left behind. The creation of beauty in poetry and art does not fall within the sovereignty or even within the sphere of the reason. The intellect is not the poet, the artist, the creator within us; creation comes by a suprarational influx of light and power which must work always, if it is to do its best, by vision and inspiration. It may use the intellect for certain of its operations, but in proportion as it subjects itself to the intellect, it loses in power and force of vision and diminishes the splendour and truth of the beauty it creates. The intellect may take hold of the influx, moderate and repress the divine enthusiasm of creation and force it to obey the prudence of its dictates, but in doing so it brings down the work to its own inferior level, and the lowering is in proportion to the intellectual interference. For by itself the intelligence can only achieve talent, though it may be a high and even, if sufficiently helped from above, a surpassing talent. Genius, the true creator, is always suprarational in its nature and its instrumentation even when it seems to be doing the work of the reason; it is most itself, most exalted in its work, most sustained in the power, depth, height and beauty of its achievement when it is least touched by, least mixed with any control of the mere intellectuality and least often drops from its heights of vision and inspiration into reliance upon the always mechanical process of intellectual construction. Art-creation which accepts the canons of the reason and works within the limits laid down by it, may be great, beautiful and powerful; for genius can preserve its power even when it labours in shackles and refuses to put forth all its resources: but when it proceeds by means of the intellect, it constructs, but does not create. It may construct well and with a good and faultless workmanship, but its success is formal and not of the spirit, a success of technique and not the embodiment of the imperishable truth of beauty seized in its inner reality, its divine delight, its appeal to a supreme source of ecstasy, Ananda.

1.24 - RITUAL, SYMBOL, SACRAMENT, #The Perennial Philosophy, #Aldous Huxley, #Philosophy
  But for all their inadequacy and their radical unlikeness to the facts to which they refer, words remain the most reliable and accurate of our symbols. Whenever we want to have a precise report of facts or ideas, we must resort to words. A ceremony, a carved or painted image, may convey more meanings and overtones of meaning in a smaller compass and with greater vividness than can a verbal formula; but it is liable to convey them in a form that is much more vague and indefinite. One often meets, in modern literature, with the notion that mediaeval churches were the architectural, sculptural and pictorial equivalents of a theological summa, and that mediaeval worshippers who admired the works of art around them were thereby enlightened on the subject of doctrine. This view was evidently not shared by the more earnest churchmen of the Middle Ages. Coulton cites the utterances of preachers who complained that congregations were getting entirely false ideas of Catholicism by looking at the pictures in the churches instead of listening to sermons. (Similarly, in our own day the Catholic Indians of Central America have evolved the wildest heresies by brooding on the carved and painted symbols with which the Conquistadors filled their churches.) St. Bernards objection to the richness of Cluniac Architecture, sculpture and ceremonial was motivated by intellectual as well as strictly moral considerations. So great and marvellous a variety of divers forms meets the eye that one is tempted to read in the marbles rather than in the books, to pass the whole day looking at these carvings one after another rather than in meditating on the law of God. It is in imageless contemplation that the soul comes to the unitive knowledge of Reality; consequently, for those who, like St. Bernard and his Cistercians, are really concerned to achieve mans final end, the fewer distracting symbols the better.
  Most men worship the gods because they want success in their worldly undertakings. This kind of material success can be gained very quickly (by such worship), here on earth.

1929-07-28 - Art and Yoga - Art and life - Music, dance - World of Harmony, #Questions And Answers 1929-1931, #The Mother, #Integral Yoga
  This was the avowed function of Art in the Middle Ages. The primitive painters, the builders of cathedrals in Mediaeval Europe had no other conception of art. In India all her Architecture, her sculpture, her painting have proceeded from this source and were inspired by this ideal. The songs of Mirabai and the music of Thyagaraja, the poetic literature built up by her devotees, saints and Rishis rank among the worlds greatest artistic possessions.
  But does the work of an artist improve if he does Yoga?
  --
  Why not? The Mahabharata and Ramayana are certainly not inferior to anything created by Shakespeare or any other poet, and they are said to have been the work of men who were Rishis and had done Yogic tapasy. The Gita which, like the Upanishads, ranks at once among the greatest literary and the greatest spiritual works, was not written by one who had no experience of Yoga. And where is the inferiority to your Milton and Shelley in the famous poems written whether in India or Persia or elsewhere by men known to be saints, Sufis, devotees? And, then, do you know all the Yogis and their work? Among the poets and creators can you say who were or who were not in conscious touch with the Divine? There are some who are not officially Yogis, they are not gurus and have no disciples; the world does not know what they do; they are not anxious for fame and do not attract to themselves the attention of men; but they have the higher consciousness, are in touch with a Divine Power, and when they create they create from there. The best paintings in India and much of the best statuary and Architecture were done by Buddhist monks who passed their lives in spiritual contemplation and practice; they did supreme artistic work, but did not care to leave their names to posterity. The chief reason why Yogis are not usually known by their art is that they do not consider their art-expression as the most important part of their life and do not put so much time and energy into it as a mere artist. And what they do does not always reach the public. How many there are who have done great things and not published them to the world!
  Have Yogis done greater dramas than Shakespeare?
  --
  True art is a whole and an ensemble; it is one and of one piece with life. You see something of this intimate wholeness in ancient Greece and ancient Egypt; for there pictures and statues and all objects of art were made and arranged as part of the architectural plan of a building, each detail a portion of the whole. It is like that in Japan, or at least it was so till the other day before the invasion of a utilitarian and practical modernism. A Japanese house is a wonderful artistic whole; always the right thing is there in the right place, nothing wrongly set, nothing too much, nothing too little. Everything is just as it needed to be, and the house itself blends marvellously with the surrounding nature. In India, too, painting and sculpture and Architecture were one integral beauty, one single movement of adoration of the Divine.
  There has been in this sense a great degeneration since then in the world. From the time of Victoria and in France from the Second Empire we have entered into a period of decadence. The habit has grown of hanging up in rooms pictures that have no meaning for the surrounding objects; any picture, any artistic object could now be put anywhere and it would make small difference. Art now is meant to show skill and cleverness and talent, not to embody some integral expression of harmony and beauty in a home.

1953-10-28, #Questions And Answers 1953, #The Mother, #Integral Yoga
   True art is a whole and an ensemble; it is one and of one piece with life. You see something of this intimate wholeness in ancient Greece and ancient Egypt; for there pictures and statues and all objects of art were made and arranged as part of the architectural plan of a building, each detail a portion of the whole. It is like that in Japan, or at least it was so till the other day before the invasion of a utilitarian and practical modernism. A Japanese house is a wonderful artistic whole; always the right thing is there in the right place, nothing wrongly set, nothing too much, nothing too little. Everything is just as it needed to be, and the house itself blends marvellously with the surrounding nature. In India, too, painting and sculpture and Architecture were one integral beauty, one single movement of adoration of the Divine.
   Questions and Answers 1929-1931 (28 July 1929)
  --
   I dont know, I thought I went into great detail. But I have said enough about it for those who know. In the old days, I mean in the artistic ages, as for instance in Greece or even during the Italian renaissance (but much more in Greece and Egypt), buildings were made for public utility. Mostly too, in Greece and Egypt, a kind of sanctuary was built to house their gods. Well, what they tried to do was something total, beautiful in itself, complete. And in that they used Architecture, that is to say, the sense of harmony of lines, and sculpture to add to Architecture the detail of expression, and painting to complete this expression, but all this was held in a coordinated unity which was the created monument. The sculpture formed a part of the building, the painting was a part of the building. These were not things apart, just put there one knew not whythey belonged to the general plan. And so, when these people made a temple, for example, it was a whole wherein were found almost all the manifestations of art, united in a single will to express the beauty they wished to express, that is, a garment for the god they wished to adore. All the beautiful periods of art were of this kind. But precisely, these days, though not quite recentlyat the end of the last century, art became commercial, mercenary, and pictures were made to be sold; they were painted on canvas, a frame was put and then, without any definite reason, a picture was put here or another there, or else some sculpture was made representing one thing or another, and it was put no matter where. It had nothing to do with the house in which it was placed. It did not fit in. Things could be beautiful in themselves but they had no meaning. It was not a whole having cohesion and attempting to express something: it was an exhibition of talent, cleverness, the ability to make a picture or a statue. So too the Architecture of those days, it had no precise meaning. One did not build with the idea of expressing the force one wanted to incarnate in that building; the Architecture was not the expression of an aspiration or of something that uplifts your spirit or the expression of the magnificence of the godhead one wanted to house. They were nothing else but mushrooms. They put up a house here, a house there, made this and that, pictures, statues, objects of all kinds. So, on entering a house one saw, as I have just told you, a bit of sculpture here, a bit of painting there, show-cases with a heap of bizarre objects having no connection with one another. And wherefore all this? To make a sort of exhibition, a show of art-objects which had nothing to do with art and beauty! But thatone must understand the deep meaning of art to feel to what an extent this was shocking. Otherwise, when one is accustomed to it, when one has lived in that period and that milieu, it seems quite natural but it is not natural. It is a commercial deformation.
   There is only one justification, that is to make it a means of education. Then it becomes a museum. If you make a museum, it is a historical sampling of all that has been done. It serves to give you a historical knowledge of things. But a museum is not something beautiful in itself, far from it! For an artist it is something quite shocking. From the point of view of education it is very good, for specimens of all kinds of things have been collected there in a single place; and in this way you may learn, acquire erudition. But from the point of view of beauty, it is frightful.

1f.lovecraft - At the Mountains of Madness, #Lovecraft - Poems, #unset, #Zen
   The effect was that of a Cyclopean city of no Architecture known to man
   or to human imagination, with vast aggregations of night-black masonry
  --
   surrounding Architecture and of the few distinguishable mural
   arabesques had a dimly sinister suggestiveness we could not escape; and
  --
   elaborateness, and gave us an impressive notion of the Architecture of
   that nameless geologic past. The inner partitions were less massive
  --
   land construction. As we studied the Architecture of all these
   sculptured palaeogean cities, including that whose aeon-dead corridors
  --
   Architecture much like that of the city above, and its workmanship
   displaying relatively little decadence because of the precise

1f.lovecraft - The Call of Cthulhu, #Lovecraft - Poems, #unset, #Zen
   Architecture which flaunts its stuccoed front amidst the lovely
   colonial houses on the ancient hill, and under the very shadow of the

1f.lovecraft - The Case of Charles Dexter Ward, #Lovecraft - Poems, #unset, #Zen
   Architecture, furniture, and craftsmanship at length crowded everything
   else from his sphere of interests. These tastes are important to

1f.lovecraft - The Crawling Chaos, #Lovecraft - Poems, #unset, #Zen
   Architecture was weird and composite, involving a quaint fusion of
   Western and Eastern forms. At the corners were Corinthian columns, but

1f.lovecraft - The Dream-Quest of Unknown Kadath, #Lovecraft - Poems, #unset, #Zen
   of simpler Architecture than the other structures, and in much better
   repair. It was dark and low and covered four sides of a square, with a

1f.lovecraft - The Dunwich Horror, #Lovecraft - Poems, #unset, #Zen
   at the falls, built in 1806, form the most modern piece of Architecture
   to be seen. Industry did not flourish here, and the nineteenth-century

1f.lovecraft - The Ghost-Eater, #Lovecraft - Poems, #unset, #Zen
   years old by its Architecture, yet still in a state of repair
   betokening the closest and most civilized attention. Through the small

1f.lovecraft - The Mound, #Lovecraft - Poems, #unset, #Zen
   before, though he added that the native Architecture of Mexico came
   closest to them of all things in the outer world. After some distance
  --
   and Zamacona studied the curiously ornate Architecture with avid
   interest. Buildings tended toward height and slenderness, with roofs

1f.lovecraft - The Rats in the Walls, #Lovecraft - Poems, #unset, #Zen
   composite Architecture; an Architecture involving Gothic towers resting
   on a Saxon or Romanesque substructure, whose foundation in turn was of

1f.lovecraft - The Shadow out of Time, #Lovecraft - Poems, #unset, #Zen
   in basic Architecture. Around all these aberrant piles of square-cut
   masonry there hovered an inexplicable aura of menace and concentrated
  --
   Architecture had been like that of the few windowless, round-topped
   towers in the haunting city. And once I saw the seaa boundless steamy

1f.lovecraft - The Shadow over Innsmouth, #Lovecraft - Poems, #unset, #Zen
   limit my serious observations to the field of Architecture.
   Thus I began my systematic though half-bewildered tour of Innsmouths
  --
   before resolved to limit my observations to Architecture alone, and I
   was even then hurrying toward the Square in an effort to get quick

1f.lovecraft - The Temple, #Lovecraft - Poems, #unset, #Zen
   Architecture, and in various stages of preservation. Most appeared to
   be of marble, gleaming whitely in the rays of the searchlight, and the

1f.lovecraft - The Thing on the Doorstep, #Lovecraft - Poems, #unset, #Zen
   As time went by I turned to Architecture and gave up my design of
   illustrating a book of Edwards daemoniac poems, yet our comradeship

1.jk - The Eve Of St. Agnes, #Keats - Poems, #John Keats, #Poetry
  (stanza II.): Leigh Hunt says "The germ of the thought, or something like it, is in Dante, where he speaks of the figures that perform the part of sustaining columns in Architecture. Keats had read Dante in Mr. Carey's translation, for which he had a great respect. He began to read him afterwards in Italian, which language he was mastering with surprising quickness.
  (stanza XV): Hunt's comment is as follows: "He almost shed tears - of sympathy, to think how his treasure is exposed to the cold - and of delight and pride to think of her sleeping beauty, and her love for himself. THis passage 'asleep in lap of legends old' is in the highest imaginative taste, fusing together the imaginative and the spiritual, the remote and the near."

1.poe - Eureka - A Prose Poem, #Poe - Poems, #unset, #Zen
  Referring to the Newtonian Gravity, Dr. Nichol, the eloquent author of "The Architecture of the Heavens," says: -"In truth we have no reason to suppose this great Law, as now revealed, to be the ultimate or simplest, and therefore the universal and all-comprehensive, form of a great Ordinance. The mode in which its intensity diminishes with the element of distance, has not the aspect of an ultimate principle; which always assumes the simplicity and self-evidence of those axioms which constitute the basis of Geometry."
  Now, it is quite true that "ultimate principles," in the common understanding of the words, always assume the simplicity of geometrical axioms -(as for "self-evidence," there is no such thing) -but these principles are clearly not "ultimate;" in other terms what we are in the habit of calling principles are no principles, properly speaking -since there can be but one principle, the Volition of God. We have no right to assume, then, from what we observe in rules that we choose foolishly to name "principles," anything at all in respect to the characteristics of a principle proper. The "ultimate principles" of which Dr. Nichol speaks as having geometrical simplicity, may and do have this geometrical turn, as being part and parcel of a vast geometrical system, and thus a system of simplicity itself -in which, nevertheless, the TRuly ultimate principle is, as we know, the consummation of the complex -that is to say, of the unintelligible -for is it not the Spiritual Capacity of God?
  --
  "Views of the Architecture of the Heavens." A letter, purporting to be from Dr. Nichol to a friend in America, went the rounds of our newspapers, about two years ago, I think, admitting "the necessity" to which I refer. In a subsequent Lecture, however, Dr. N. appears in some manner to have gotten the better of the necessity, and does not quite renounce the theory, although he seems to wish that he could sneer at it as "a purely hypothetical one." What else was the Law of Gravity before the Maskelyne experiments? and who questioned the Law of Gravity, even then?
  Many of my readers will no doubt be inclined to say that the result of these new investigations has at least a strong tendency to overthrow the hypothesis; while some of them, more thoughtful, will suggest that, although the theory is by no means disproved through the segregation of the particular "nebulae" alluded to, still a failure to segregate them, with such telescopes, might well have been understood as a triumphant Corroboration of the theory: -and this latter class will be surprised, perhaps, to hear me say that even with them I disagree. If the propositions of this Discourse have been comprehended, it will be seen that, in my view, a failure to segregate the "nebulae" would have tended to the refutation, rather than to the confirmation, of the Nebular Hypothesis.

1.rwe - Seashore, #Emerson - Poems, #Ralph Waldo Emerson, #Philosophy
  I make your sculptured Architecture vain,
  Vain beside mine. I drive my wedges home,

1.rwe - The Snowstorm, #Emerson - Poems, #Ralph Waldo Emerson, #Philosophy
  The frolic Architecture of the snow.
   by owner. provided at no charge for educational purposes

1.whitman - Carol Of Occupations, #Whitman - Poems, #unset, #Zen
  All Architecture is what you do to it when you look upon it;
  (Did you think it was in the white or gray stone? or the lines of the

1.whitman - Once I Passd Through A Populous City, #Whitman - Poems, #unset, #Zen
      future use, with its shows, Architecture, customs, and
      traditions;

1.whitman - Song of Myself, #Whitman - Poems, #unset, #Zen
  The blocks and fallen Architecture more than all the living cities of the globe.
  I am a free companion, I bivouac by invading watchfires,

1.whitman - Song Of Myself- XXXIII, #Whitman - Poems, #unset, #Zen
  The blocks and fallen Architecture more than all the living cities of the globe.
  I am a free companion, I bivouac by invading watchfires,

1.ww - Rural Architecture, #Wordsworth - Poems, #unset, #Zen
  object:1.ww - Rural Architecture
  author class:William Wordsworth

2.01 - Indeterminates, Cosmic Determinations and the Indeterminable, #The Life Divine, #Sri Aurobindo, #Integral Yoga
  On that hypothesis, there must be behind the action of the material Energy a secret involved Consciousness, cosmic, infinite, building up through the action of that frontal Energy its means of an evolutionary manifestation, a creation out of itself in the boundless finite of the material universe. The apparent inconscience of the material Energy would be an indispensable condition for the structure of the material world-substance in which this Consciousness intends to involve itself so that it may grow by evolution out of its apparent opposite; for without some such device a complete involution would be impossible. If there is such a creation by the Infinite out of itself, it must be the manifestation, in a material disguise, of truths or powers of its own being: the forms or vehicles of these truths or powers would be the basic general or fundamental determinates we see in Nature; the particular determinates, which otherwise are unaccountable variations that have emerged from the vague general stuff in which they originate, would be the appropriate forms or vehicles of the possibilities that the truths or powers residing in these fundamentals bore within them. The principle of free variation of possibilities natural to an infinite Consciousness would be the explanation of the aspect of inconscient Chance of which we are aware in the workings of Nature, - inconscient only in appearance and so appearing because of the complete involution in Matter, because of the veil with which the secret Consciousness has disguised its presence. The principle of truths, real powers of the Infinite imperatively fulfilling themselves would be the explanation of the opposite aspect of a mechanical Necessity which we see in Nature, mechanical in appearance only and so appearing because of the same veil of Inconscience. It would then be perfectly intelligible why the Inconscient does its works with a constant principle of mathematical Architecture, of design, of effective arrangement of numbers, of adaptation of means to ends, of inexhaustible device and invention, one might almost say, a constant experimental skill and an automatism of purpose. The appearance of consciousness out of an apparent Inconscience would also be no longer inexplicable.
  All the unexplained processes of Nature would find their meaning and their place if this hypothesis proved to be tenable.

2.01 - On Books, #Evening Talks With Sri Aurobindo, #unset, #Zen
   I have, however, mentioned that Islamic culture contributed the Indo-Saracenic Architecture to Indian culture. I do not think it has done anything more in India of cultural value. It gave some new forms to art and poetry. Its political institutions were always semi-barbaric.
   10 OCTOBER 1923

2.03 - DEMETER, #The Phenomenon of Man, #Pierre Teilhard de Chardin, #Christianity
  simple sheath, we iind the veil of tissues and the Architecture of
  the limbs. That is the situation.

2.04 - On Art, #Evening Talks With Sri Aurobindo, #unset, #Zen
   You know, Gangooly wanted me to recast the chapters on Architecture and Sculpture,[2] cut out the strictures on William Archer and give the remainder serially in Rupam.
   Disciple: Why cut the strictures out?
   Sri Aurobindo: Because, he said, Archer need not be answered. Of all the chapters on Indian Art I think those on Architecture and Sculpture are the best. While writing the chapter on Painting I was tired and besides I have a great natural predilection for the other two arts. Appreciation of painting I cultivated afterwards; I acquired it I had not got it by nature as that of the other two arts. And even then, in painting I have to get at the spirit and I can get at it but I do not know about the technique. In Architecture also I do not know the technical terms but yet I can seize on the spirit.
   Disciple: You have dwelt on sculpture, Architecture and painting, but you have left music to sing for itself.
   Sri Aurobindo: You may as well ask me to write about trigonometry! (Laughter) I can get at the spirit of the singer and catch the emotion; but in appreciating that art that is not enough.
  --
   Sri Aurobindo: It is true that France leads in art. What she initiates others follow. But Architecture has stopped everywhere.
   Disciple: Elie Faure says that the machine also is a piece of Architecture.
   Sri Aurobindo: How?
  --
   Sri Aurobindo: Then, you are also a piece of Architecture: everything in you is made of parts. The motor-car also is Architecture!
   Disciple: X finds these paintings very remarkable.

2.07 - On Congress and Politics, #Evening Talks With Sri Aurobindo, #unset, #Zen
   Sri Aurobindo: Why not? India has assimilated elements from the Greeks, the Persians and other nations. But she assimilates only when her Central Truth is recognised by the other party, and even while assimilating she does it in such a way that the elements absorbed are no longer recognisable as foreign but become part of herself. For instance, we took from the Greek Architecture, from the Persian painting, etc.
   The assimilation of the Mahomedan culture also was done in the mind to a great extent and it would have perhaps gone further. But in order that the process may be complete it is necessary that a change in the Mahomedan mentality should come. The conflict is in the outer life and unless the Mahomedans learn tolerance I do not think the assimilation is possible.

2.0 - THE ANTICHRIST, #Twilight of the Idols, #Friedrich Nietzsche, #Philosophy
  great Architecture. But it was not sufficiently firm to resist the
  _corruptest_ form of corruption, to resist the Christians.... These

2.18 - January 1939, #Evening Talks With Sri Aurobindo, #unset, #Zen
   Disciple: It is a combination of modern and ancient Architecture.
   Sri Aurobindo: At any rate it is an ugly dome. The Ramakrishna Mission was afraid of her political activities and asked her to keep them separate from the Missions work.

2.19 - Feb-May 1939, #Evening Talks With Sri Aurobindo, #unset, #Zen
   Sri Aurobindo: There is no outer rule; you have to get the psychic tact which throws out the error. For example, the Mother used to feel about the soundness of houses and our engineer used to find out afterwards that her feeling was true, though she does not know Architecture or engineering. Another necessity is that one must be sincere about finding the truth by intuition. That is to say, one must not jump at the first idea and run away with it. The mind must be absolutely impartial and also one must be patient and one must wait. One must also test his intuitions.
   16 MAY 1939

2.2.03 - The Divine Force in Work, #Letters On Yoga II, #Sri Aurobindo, #Integral Yoga
  When you have opened yourself to a higher Force, when you have made yourself a channel for the energy of its work, it is quite natural that the Force should flow and act in the way that is wanted or the way that is needed and for the effect that is needed. Once the channel is made, the Force that acts is not necessarily bound by the personal limitations or disabilities of the instrument; it can disregard them and act in its own power. In doing so it may use the instrument simply as a medium and, as soon as the work is finished, leave him just what he was before, incapable in his ordinary moments of doing such good work, capable only when he is seized and used and illumined. But also it may by its power of transforming action set the instrument right, accustom it to the necessary intuitive knowledge and movement so that this living perfected instrument can at will call for and receive the action of the Force. In technique, there are two different things,there is the intellectual knowledge which one has acquired and applies or thinks one is applying there is the intuitive cognition which acts in its own right, even if it is not actually possessed by the worker so that he cannot give an adequate account of the modes of working or elements of what he has done. Many poets have a very summary theoretic knowledge of metrical or linguistic technique; they have its use but they would not be able to explain how they write or what are the qualities and constituent methods of their successful art, but they achieve all the same things that are perfect in the weaving of sounds and the skill of words, consummate in rhythm and language. Intellectual knowledge of technique is a help but a minor help; it can become a mere device or a rigid fetter. It is an intuitive divination of the right process that is more frequent and a more powerful actionor even it is an inspiration that puts the right sounds or right words without need of even any intuitive choice. This is especially true of poetry, for there are artsthose that work in a more material substancewhere perfect work cannot be done without full technical knowledge,painting, sculpture, Architecture.
  What the higher Force writes through you is your own in the sense that you have been an instrument of manifestationas is indeed every artist or worker. When you put your name to it, it is the name of the instrumental creator; but for sadhana it is necessary to recognise that the real Power, the true Creator was not your surface self, you were simply the living harp on which the Musician played his tune.

2.24 - The Evolution of the Spiritual Man, #The Life Divine, #Sri Aurobindo, #Integral Yoga
  But after some aeons, looking out once more on that vain panorama, he might have detected in one small corner at least of the universe this phenomenon, a corner where Matter had been prepared, its operations sufficiently fixed, organised, made stable, adapted as a scene of a new development, - the phenomenon of a living matter, a life in things that had emerged and become visible: but still the Witness would have understood nothing, for evolutionary Nature still veils her secret. He would have seen a Nature concerned only with establishing this outburst of life, this new creation, but life living for itself with no significance in it, - a wanton and abundant creatrix busy scattering the seed of her new power and establishing a multitude of its forms in a beautiful and luxurious profusion or, later, multiplying endlessly genus and species for the pure pleasure of creation: a small touch of lively colour and movement would have been flung into the immense cosmic desert and nothing more. The Witness could not have imagined that a thinking mind would appear in this minute island of life, that a consciousness could awake in the Inconscient, a new and greater subtler vibration come to the surface and betray more clearly the existence of the submerged Spirit. It would have seemed to him at first that Life had somehow become aware of itself and that was all; for this scanty new-born mind seemed to be only a servant of life, a contrivance to help life to live, a machinery for its maintenance, for attack and defence, for certain needs and vital satisfactions, for the liberation of life-instinct and life-impulse. It could not have seemed possible to him that in this little life, so inconspicuous amid the immensities, in one sole species out of this petty multitude, a mental being would emerge, a mind serving life still but also making life and matter its servants, using them for the fulfilment of its own ideas, will, wishes, - a mental being who would create all manner of utensils, tools, instruments out of Matter for all kinds of utilities, erect out of it cities, houses, temples, theatres, laboratories, factories, chisel from it statues and carve cave-cathedrals, invent Architecture, sculpture, painting, poetry and a hundred crafts and arts, discover the mathematics and physics of the universe and the hidden secret of its structure, live for the sake of mind and its interests, for thought and knowledge, develop into the thinker, the philosopher and scientist and, as a supreme defiance to the reign of Matter, awake in himself to the hidden Godhead, become the hunter after the invisible, the mystic and the spiritual seeker.
  But if after several ages or cycles the Witness had looked again and seen this miracle in full process, even then perhaps, obscured by his original experience of the sole reality of Matter in the universe, he would still not have understood; it would still seem impossible to him that the hidden Spirit could wholly emerge, complete in its consciousness, and dwell upon the earth as the self-knower and world-knower, Nature's ruler and possessor. "Impossible!" he might say, "all that has happened is nothing much, a little bubbling of sensitive grey stuff of brain, a queer freak in a bit of inanimate Matter moving about on a small dot in the Universe." On the contrary, a new Witness intervening at the end of the story, informed of the past developments but unobsessed by the deception of the beginning, might cry out,

30.04 - Intuition and Inspiration in Art, #Collected Works of Nolini Kanta Gupta - Vol 07, #Nolini Kanta Gupta, #Integral Yoga
   It may be said that to a great extent in the East the whole of Sanskrit literature was founded on intuition. In the Vedas, the Upanishads, the Ramayana and even in the Mahabharata, very often we find instances where the rein of knowledge has prevented the emotion and the zeal of the heart from running riot. In fact the speciality of Indian art does not lie so much in the play of colours as in the drawing of lines. Colour gives the tinge of the vital urge, while it is the lines that create here the real beauty by circumscribing or delimiting the object in view. Indian sculpture and Architecture embody, the quintessential spirit and gracefulness of intuition.
   Perhaps in India the Vaishnavas or the followers of the path of devotion have replaced intuition by inspiration. It is by their influence and at their hands that literature based on inspiration has become so rich, eloquent and intense. Western scholars say that the Aryans were mostly intellectual, principally guided by reason; it is the non-Aryans, the Dravidians, who have introduced the element of emotion into Indian culture. The Aryans generally followed the path of knowledge and the South Indians were predominantly devotional. Perhaps there is some truth in this saying. The Buddhists were also to some extent responsible for the change in the even and tranquil tenor of Aryan culture. In the beginning the Buddhists, like the Vedic Aryans, laid the greatest stress on knowledge. Later on, when Mahayana, the Great Path, came into vogue, there commenced the worship of the Buddha. When the compassion of the Buddha was recognised as the principal trait of Buddhism we moved away from intuition and resorted to inspiration.

30.13 - Rabindranath the Artist, #Collected Works of Nolini Kanta Gupta - Vol 07, #Nolini Kanta Gupta, #Integral Yoga
   It has been already said that Rabindranath's inner Being was a creator of beauty. But this beauty he has expressed more through the vibrations of rhythm than through the modelling of form except in some of his supreme utterances. We notice that the greater stress of his fine art has been laid on movement than on static beauty and more on the gesture of limbs than on their limned outline. We find that his poetic creation has been more akin to the art of music and of the dance than that of sculpture and Architecture. He has attained to sheer beauty through movement and not through immobility, not so much through sight as through sound. The poet eagerly wants to listen to and seize upon the tunes of rhythms that overflow in a silent urge behind the external forms or structures, the life-vibrations that have manifested in the creation echoing with sounds. The poet wants to bring out the suggestiveness behind the significance of words, the incorporeal import comprised in the sentence otherwise framed in ordinary words.
   The poet says:

3.02 - THE DEPLOYMENT OF THE NOOSPHERE, #The Phenomenon of Man, #Pierre Teilhard de Chardin, #Christianity
  well-established, well-balanced Architecture, seem to suggest, ana-
  tomically, a downward convergence towards the ' simian ' world.

31.01 - The Heart of Bengal, #Collected Works of Nolini Kanta Gupta - Vol 07, #Nolini Kanta Gupta, #Integral Yoga
   Bengalis are worshippers of, beauty. They worship more the beauty of ideas than the beauty of forms. They are attracted more by simple and natural beauty than by ornaments, decorations and pomp. We have seen the huge works of Architecture of the Deccan. What a huge heap of stones full of artistic grandeur! The images of the deities there are covered from head to foot with jewels and ornaments. But Bengalis want to express beauty not by weight but by order, by the simple, graceful style of lines. The people of the Deccan have an attraction for gaudiness and colour in their clothes. But the Bengalis want only simplicity and decency. No other race prefers the white colour for their clothing as the Bengalis do. We find this tendency toward simplicity and purity in the pioneer poet Chandidas of Bengal.
   Another touchstone of beauty is woman. Women of beauty abound more in other parts of India, but Bengal owns graceful women. Some unknown versifier, while describing the special qualities of the beauties of the different provinces of India, remarked that the beauty of teeth is the speciality of the women of Bengal. The famous poet Jayadeva also was enamoured of the lustre of the shining teeth of the Bengali women. We may not be consciously aware of it, but there is a grace and a charm on the faces of the women of Bengal. Faultless beauty in the formation of the body may be absent there, but it will remind us of the words in The Song of Solomon, I am black but comely. The soft, pliant, graceful and mobile ways of life and character are reflected on the faces of the Bengali women. In the structure of the Bengalis, the statuesqueness of the Greeks is not to be found, but there is gracefulness and charm. And what is this gracefulness? Bergson has given a nice explanation to the effect:

4.1.01 - The Intellect and Yoga, #Letters On Yoga I, #Sri Aurobindo, #Integral Yoga
  - a sculpture, a gracious piece of Architecture, but in a moment mysteriously, unexpectedly there is instead a Presence, a Power, a Face that looks into yours, an inner sight in you has regarded the World-Mother Similar touches can come too through art, music, poetry to their creator or to one who feels the shock of the word, the hidden significance of a form, a message in the sound that carries more perhaps than was consciously meant by the composer. All things in the Lila can turn into windows that open on the hidden Reality. Still so long as one is satisfied with looking through windows, the gain is only initial; one day one will have to take up the pilgrim's staff and start out to journey there where the Reality is for ever manifest and present.
  Still less can it be spiritually satisfying to remain with shadowy reflections; a search imposes itself for the Light which they strive to figure. But since this Reality and this Light are in ourselves no less than in some high region above the mortal plane, we can in the seeking for it use many of the figures and activities of Life; as one offers a flower, a prayer, an act to the Divine, one can offer too a created form of beauty, a song, a poem, an image, a strain of music, and gain through it a contact, a response or an experience. And when that divine Consciousness has been entered or when it grows within, then too its expression in life through these things is not excluded from Yoga; these creative activities can still have their place, though not intrinsically a greater place than any other that can be put to divine use and service. Art, poetry, music, as they are in their ordinary functioning, create mental and vital, not spiritual values; but they can be turned to a higher end, and then, like all things that are capable of linking our consciousness to the Divine, they are transmuted and become spiritual and can be admitted as part of a life of Yoga. All takes new values not from itself, but from the consciousness that uses it; for there is only one thing essential, needful, indispensable, to grow conscious of the Divine Reality and live in it and live it always.

7 - Yoga of Sri Aurobindo, #unset, #Arthur C Clarke, #Fiction
  purpose of Architecture embodying the harmony of
  sweeping and majestic lines: sculpture was a part of archi-
  --
  virtuosity. A piece of Architecture does not incarnate a
  living force as it used to do once upon a time. It is no

Avatars of the Tortoise, #unset, #Arthur C Clarke, #Fiction
  visible, ubiquitous in space and durable in time; but in its Architecture we
  have allowed tenuous and eternal crevices of unreason which tell us it is

BOOK II. -- PART I. ANTHROPOGENESIS., #The Secret Doctrine, #H P Blavatsky, #Theosophy
  of Architecture, as of the various modes of magic, so-called; and of the medical use of plants. Hermes,
  Orpheus, Cadmus, Asclepius, all those demi-gods and heroes, to whom is ascribed the revelation of
  --
  descend from their celestial abode* and reign on Earth, teaching mankind Astronomy, Architecture,
  and all the other sciences that have come down to us. These Beings appear first as "gods" and
  --
  ploughing, of building, of sculpture or stone-cutting, of Architecture . . . . in fine, of all .
  . . . ARTS. He was the primeval principle, disintegrating into the modification of two

BOOK II. -- PART III. ADDENDA. SCIENCE AND THE SECRET DOCTRINE CONTRASTED, #The Secret Doctrine, #H P Blavatsky, #Theosophy
  world, of this race is peculiar. It has never originated a system of Architecture or a religion of its own"
  (Dr. C. Carter Blake). It is peculiar, indeed, as we have shown in the case of the Tasmanians. However
  --
  Pelasgians in Italy and Greece as a coincidence "the most remarkable in the history of Architecture." "It
  is difficult to resist the conclusion that there may be some relation between them." The "relation" is
  --
  respect as to Atlantis, we find this agreement to exist. It existed in Architecture, sculpture, navigation,
  engraving, writing, an established priesthood, the mode of worship, agriculture, and the construction

BOOK II. -- PART II. THE ARCHAIC SYMBOLISM OF THE WORLD-RELIGIONS, #The Secret Doctrine, #H P Blavatsky, #Theosophy
  the works of the Deity in the way of Architecture, by use of the sacred unit of measure
  in the Garden of Eden, the Ark of Noah, the Tabernacle, and the Temple of Solomon."

BOOK I. -- PART I. COSMIC EVOLUTION, #The Secret Doctrine, #H P Blavatsky, #Theosophy
  Vitruvius Pollio of the Augustan age, on Architecture, for instance, in which all the rules of proportion
  are those taught anciently at initiations, if he would acquaint himself with the truly divine art, and
  --
  immortal gods; and the ten books of Marcus Vitruvius Pollio on Architecture, of one, in short, who
  was an initiate, can only be studied esoterically. The Druidical circles, the Dolmen, the Temples of

BS 1 - Introduction to the Idea of God, #unset, #Arthur C Clarke, #Fiction
  Were biological creatures. When we formulated our strange capacity to abstract and use language, we still had all those underlying systems that were there when we were only animals. We have to use those systems that are there. Part of the emotional and motivational Architecture of our thinking, part of the reason why we can demonize our enemies who upset our axioms, is because we perceive them as if theyre carnivorous predators. We do it with the same system. Thats chaos itself, the thing that always threatens us the snakes that came to the trees when we lived in them, like 60 million years ago. Its the same damned systems.
  The Marduk story is partly the story of using attention and language to confront those things that most threaten us. Some of those things are real world threats, but some of them are psychological threats, which are just as profound but far more abstract. But we use the same system to represent them. Thats why you freeze, if you're frightened. Youre a prey animal. Youre like a rabbit, and youve seen something that's going to eat you. You freeze, and youre paralyzed. Youre turned to stone, which is what you do when you see a Medusa with a head full of snakes. You turn to stone. Youre paralyzed, and the reason you do that is because youre using the predator detector system to protect yourself. Your heart rate goes way up, and you get ready to move.

ENNEAD 05.09 - Of Intelligence, Ideas and Essence., #Plotinus - Complete Works Vol 01, #Plotinus, #Christianity
  The arts which produce sense-objects, such as Architecture and carpentry, have their principles in the intelligible world, and participate in wisdom, so far as they make use of certain proportions. But as they apply these proportions to sense-objects, they cannot wholly be referred to the intelligible world, unless in so far as they are contained within human reason. The case is similar with agriculture, which assists the growth of plants; medicine, which increases health, and (gymnastics) which supplies the body with strength as well as vigor,145 for on high there is another Power, another115 Health, from which all living organisms derive their needed vigor.
  OTHER ARTS ARE INTELLIGIBLE WHEN APPLIED TO THE INTELLIGIBLE.

Liber 46 - The Key of the Mysteries, #unset, #Arthur C Clarke, #Fiction
   gothic Architecture, rather like the abandoned chapel of an old castle.
   A door hidden by a black drapery opened on to this room; behind the

Maps of Meaning text, #Maps of Meaning, #Jordan Peterson, #Psychology
  THE Architecture OF
  BELIEF

Talks With Sri Aurobindo 1, #unset, #Arthur C Clarke, #Fiction
  SRI AUROBINDO: Of course. France leads in art. What she begins, others follow. But Architecture has stopped everywhere.
  PURANI: Elie Faure says the machine is also a piece of archtecture.
  --
  SRI AUROBINDO: Then you also are a piece of Architecture. Everything is made
  of parts. The motor-car too is Architecture then..
  PURANI: X finds these paintings of Picasso very remarkable.
  --
  SATYENDRA: I had a knock. (Laughter) Modern Architecture is going in for everything plain, sharp and clear-cut. (Puzzled look on all faces) That's why I
  got the knock. The sharp edge of my bed gave it.

The Act of Creation text, #The Act of Creation, #Arthur Koestler, #Psychology
  introduced new vistas into Architecture.
  Economy
  --
  matical regularity of its micro-cosmic Architecture which creates the
  impact, and gives rise to the aesthetic experience.
  --
  and ribs with the columns and pillasters of classic Roman Architecture
   and created that wonderful hybrid, the Renaissance style. And so it
  --
  Toulmtn, S. and Goodfield, J. The Architecture of Matter. London: Hutchinson,
  1962.

The Dwellings of the Philosophers, #unset, #Arthur C Clarke, #Fiction
  once the broad outlines of the Architecture were decided upon, he entrusted its execution to an
  architect who might have been Philibert de lOrme in any case it is Monsieur de
  --
  by the man to whom we owe the motifs of this hieroglyphic Architecture. The fact that Louis
  dEstissac had conquered the title par excellence of hermetic nobility is beyond doubt. The
  --
  elegant specimen of secular, 15th century Architecture. It is the so-called house of the Man of
  the Woods, a noggin building, reduced today to the first and second floors only. Its surprising
  --
  this manner Italian-style picture books containing reproductions of landscapes, Architecture,
  etc., prints ordinarily presented in landscape format. It is, we are told, golden, although its
  --
  In outer Architecture, though elegant and in good taste, remains very simple and presents
  nothing remarkable; but it is with buildings as it is with certain people: their unobtrusive
  --
  less restrictive framework of lay Architecture.
  138
  --
  rules of Architecture, enriched with very delicately worked moresques (arabesques): and all
  the 12 niches are filled with the white marble figure of the 12 apostles, each having a different
  --
  with a similar Architecture, and each is divided into 2 niches similar to the others. At the end,
  towards the master altar of the church, are placed in these niches the figures of St Francis of

The Immortal, #Labyrinths, #Jorge Luis Borges, #Poetry
  The impression of great antiquity was joined by others: the impression of endlessness, the sensation of oppressiveness and horror, the sensation of complex irrationality. I had made my way through a dark maze, but it was the bright City of the Immortals that terrified and repelled me. A maze is a house built purposely to confuse men; its Architecture, prodigal in symmetries, is made to serve that purpose. In the palace that I imperfectly explored, the Architecture had no purpose. There were corridors that led nowhere, unreachably high windows, grandly dramatic doors that opened onto monklike cells or empty shafts, incredible upside-down staircases with upside-down treads and balustrades. Other staircases, clinging airily to the side of a monumental wall, petered out after two or three landings, in the high gloom of the cupolas, arriving nowhere. I cannot say whether these are literal examples I have given; I do know that for many years they plagued my troubled dreams; I can no longer know whether any given feature is a faithful transcription of reality or one of the shapes unleashed by my nights. This City, I thought, is so horrific that its mere existence, the mere fact of its having endured - even in the middle of a secret desert - pollutes the past and the future and somehow compromises the stars. So long as this City endures, no one in the world can ever be happy or courageous. I do not want to describe it; a chaos of heterogeneous words, the body of a tiger or a bull pullulating with teeth, organs, and heads monstrously yoked together yet hating each other - those might, perhaps, be approximate images.
  I cannot recall the stages by which I returned, nor my path through the dusty, humid crypts. I know only that I was accompanied by the constant fear that when I emerged from the last labyrinth I would be surrounded once again by the abominable City of the Immortals. I remember nothing else. That loss of memory, now insurmountable, was perhaps willful; it is possible that the circumstances of my escape were so unpleasant that on some day no less lost to memory I swore to put them out of my mind.

The Shadow Out Of Time, #unset, #Arthur C Clarke, #Fiction
  these dark, cylindrical towers in basic Architecture. Around all these aberrant piles of
  square-cut masonry there hovered an inexplicable aura of menace and concentrated fear,

WORDNET



--- Overview of noun architecture

The noun architecture has 4 senses (first 3 from tagged texts)
                
1. (3) architecture ::: (an architectural product or work)
2. (1) architecture ::: (the discipline dealing with the principles of design and construction and ornamentation of fine buildings; "architecture and eloquence are mixed arts whose end is sometimes beauty and sometimes use")
3. (1) architecture ::: (the profession of designing buildings and environments with consideration for their esthetic effect)
4. computer architecture, architecture ::: ((computer science) the structure and organization of a computer's hardware or system software; "the architecture of a computer's system software")


--- Synonyms/Hypernyms (Ordered by Estimated Frequency) of noun architecture

4 senses of architecture                        

Sense 1
architecture
   => building, edifice
     => structure, construction
       => artifact, artefact
         => whole, unit
           => object, physical object
             => physical entity
               => entity

Sense 2
architecture
   => discipline, subject, subject area, subject field, field, field of study, study, bailiwick
     => knowledge domain, knowledge base, domain
       => content, cognitive content, mental object
         => cognition, knowledge, noesis
           => psychological feature
             => abstraction, abstract entity
               => entity
   => fine arts, beaux arts
     => humanistic discipline, humanities, liberal arts, arts
       => discipline, subject, subject area, subject field, field, field of study, study, bailiwick
         => knowledge domain, knowledge base, domain
           => content, cognitive content, mental object
             => cognition, knowledge, noesis
               => psychological feature
                 => abstraction, abstract entity
                   => entity

Sense 3
architecture
   => profession
     => occupation, business, job, line of work, line
       => activity
         => act, deed, human action, human activity
           => event
             => psychological feature
               => abstraction, abstract entity
                 => entity

Sense 4
computer architecture, architecture
   => structure
     => constitution, composition, physical composition, makeup, make-up
       => property
         => attribute
           => abstraction, abstract entity
             => entity


--- Hyponyms of noun architecture

2 of 4 senses of architecture                    

Sense 2
architecture
   => landscape architecture
   => urban planning
   => interior design

Sense 4
computer architecture, architecture
   => complex instruction set computing, complex instruction set computer, CISC
   => reduced instruction set computing, reduced instruction set computer, RISC


--- Synonyms/Hypernyms (Ordered by Estimated Frequency) of noun architecture

4 senses of architecture                        

Sense 1
architecture
   => building, edifice

Sense 2
architecture
   => discipline, subject, subject area, subject field, field, field of study, study, bailiwick
   => fine arts, beaux arts

Sense 3
architecture
   => profession

Sense 4
computer architecture, architecture
   => structure




--- Coordinate Terms (sisters) of noun architecture

4 senses of architecture                        

Sense 1
architecture
  -> building, edifice
   => abattoir, butchery, shambles, slaughterhouse
   => apartment building, apartment house
   => architecture
   => aviary, bird sanctuary, volary
   => bathhouse, bathing machine
   => bowling alley
   => center, centre
   => chapterhouse
   => clubhouse, club
   => dormitory, dorm, residence hall, hall, student residence
   => farm building
   => feedlot
   => firetrap
   => gambling house, gambling den, gambling hell, gaming house
   => gazebo, summerhouse
   => government building
   => greenhouse, nursery, glasshouse
   => hall
   => hall
   => Hall of Fame
   => hotel
   => hotel-casino, casino-hotel
   => house
   => house
   HAS INSTANCE=> Independence Hall
   => library
   => medical building, health facility, healthcare facility
   => ministry
   => morgue, mortuary, dead room
   => observatory
   => office building, office block
   => opium den
   => outbuilding
   => packinghouse
   => place of worship, house of prayer, house of God, house of worship
   => planetarium
   => presbytery
   => restaurant, eating house, eating place, eatery
   => rest house
   => rink, skating rink
   => Roman building
   => rotunda
   => ruin
   => school, schoolhouse
   => shooting gallery
   => signal box, signal tower
   => skyscraper
   => student union
   => tavern, tap house
   => telecom hotel, telco building
   => temple
   => theater, theatre, house
   => whorehouse, brothel, bordello, bagnio, house of prostitution, house of ill repute, bawdyhouse, cathouse, sporting house
   HAS INSTANCE=> Houses of Parliament

Sense 2
architecture
  -> discipline, subject, subject area, subject field, field, field of study, study, bailiwick
   => occultism
   => communications, communication theory
   => major
   => frontier
   => genealogy
   => allometry
   => bibliotics
   => ology
   => science, scientific discipline
   => architecture
   => engineering, engineering science, applied science, technology
   => futurology, futuristics
   => humanistic discipline, humanities, liberal arts, arts
   => theology, divinity
   => military science
   => escapology
   => graphology
   => numerology
   => protology
   => theogony
  -> fine arts, beaux arts
   => painting
   => sculpture, carving
   => architecture

Sense 3
architecture
  -> profession
   => learned profession
   => literature
   => architecture
   => education
   => journalism
   => politics
   => technology, engineering

Sense 4
computer architecture, architecture
  -> structure
   => infrastructure, substructure
   => computer architecture, architecture
   => cytoarchitecture, cytoarchitectonics
   => framework, fabric




--- Grep of noun architecture
architecture
bachelor of science in architecture
byzantine architecture
classical architecture
computer architecture
cytoarchitecture
english-gothic architecture
gothic architecture
greco-roman architecture
greek architecture
landscape architecture
master of architecture
moorish architecture
network architecture
norman architecture
roman architecture
romanesque architecture
style of architecture
tudor architecture
type of architecture
victorian architecture



IN WEBGEN [10000/2214]

Wikipedia - 128-bit computing -- Computer architecture
Wikipedia - 1732 in architecture
Wikipedia - 17th Venice Architecture Biennale -- 2020 international architecture exhibition in Venice, Italy
Wikipedia - 19th century BC in architecture -- Building and structures in 19th century before Christ
Wikipedia - 1-bit architecture
Wikipedia - 1-bit computing -- Instruction set architecture for a processor
Wikipedia - 2021 in architecture
Wikipedia - 24-bit computing -- Computer architecture bit width
Wikipedia - 32-bit computing -- Computer architecture
Wikipedia - 48-bit computing -- discrete values integer in computer architecture
Wikipedia - AArch64 -- 64-bit extension of the ARM architecture
Wikipedia - Ada Louise Huxtable -- American architecture writer
Wikipedia - Advanced Graphics Architecture
Wikipedia - Advanced Linux Sound Architecture -- Software framework
Wikipedia - Advanced Vector Extensions -- Extensions to the x86 instruction set architecture for microprocessors from Intel and AMD
Wikipedia - Agent architecture
Wikipedia - Agile Architecture
Wikipedia - Ahmad Zohadi -- Iranian architecture scholar, publisher (born 1969)
Wikipedia - Alcove (architecture) -- Recessed area open from a larger room but enclosed by architectural elements
Wikipedia - Alder Lake (microprocessor) -- Upcoming Intel CPU architecture
Wikipedia - Alia Farid -- Artist who works across the disciplines of art, architecture, and urban anthropology
Wikipedia - Alina Payne -- Historian of art and architecture
Wikipedia - Amdahl's law -- Theoretical speedup formula in computer architecture
Wikipedia - Amiga Advanced Architecture chipset
Wikipedia - Amiga Advanced Graphics Architecture
Wikipedia - Ampere (microarchitecture) -- GPU microarchitecture designed by NVIDIA
Wikipedia - Anant Agarwal -- Indian computer architecture researcher
Wikipedia - Ancient Egyptian architecture -- Aspect of architecture
Wikipedia - Ancient Greek architecture
Wikipedia - Ancient Roman architecture -- Ancient architecture
Wikipedia - Andaruni -- inner quarters where women lived in traditional Iranian architecture
Wikipedia - Anne Quito -- Design reporter and architecture critic
Wikipedia - Antebellum architecture -- Neoclassical architectural style characteristic of the 19th-century Southern United States
Wikipedia - Anti urination devices in Norwich -- Hostile architecture installed in the 19th century
Wikipedia - Apple-Intel architecture -- Unofficial name used for Macintosh models that use Intel x86 processors
Wikipedia - Applications Architecture
Wikipedia - Applications architecture
Wikipedia - Arcade (architecture)
Wikipedia - ArchDaily -- Architecture website
Wikipedia - ArchiCAD -- Computer-aided design software for architecture
Wikipedia - Archistorm -- French architecture, design and contemporary art magazine
Wikipedia - Architectural Association School of Architecture -- Independent school of architecture in London, England
Wikipedia - Architectural lighting design -- Field within architecture, interior design and electrical engineering
Wikipedia - Architecture 101 -- 2012 South Korean romance film written and directed by Lee Yong-ju
Wikipedia - Architecture Analysis > Design Language
Wikipedia - Architecture description language
Wikipedia - Architecture in Helsinki -- Australian indie pop band
Wikipedia - Architecture Machine Group
Wikipedia - Architecture (magazine) -- American architecture magazine
Wikipedia - Architecture Neutral Distribution Format -- Portable binary application code format
Wikipedia - Architecture of Africa
Wikipedia - Architecture of ancient Rome
Wikipedia - Architecture of Australia -- Overview of the architecture in Australia
Wikipedia - Architecture of Azerbaijan -- The architecture development in Azerbaijan
Wikipedia - Architecture of Berlin -- Overview of the architecture in Berlin
Wikipedia - Architecture of Brazil -- Overview of the architecture in Brazil
Wikipedia - Architecture of Canada -- Overview of the architecture in Canada
Wikipedia - Architecture of cathedrals and great churches
Wikipedia - Architecture of Central Asia -- Architectural styles of the societies that have occupied Central Asia throughout history
Wikipedia - Architecture of Chicago -- Regional architecture
Wikipedia - Architecture of England -- Architectural styles of modern England and the historic Kingdom of England
Wikipedia - Architecture of Ethiopia -- Architecture originating in and around the region of Ethiopia, incorporating various styles and techniques
Wikipedia - Architecture of Georgia (country)
Wikipedia - Architecture of Germany -- Overview of the architecture of Germany
Wikipedia - Architecture of Houston
Wikipedia - Architecture of Hungary -- Overview of architecture in Hungary
Wikipedia - Architecture of India -- Overview of the architecture in India
Wikipedia - Architecture of Indonesia -- Overview of the architecture in Indonesia
Wikipedia - Architecture of Integrated Information Systems
Wikipedia - Architecture of Istanbul -- Overview of architecture in Istanbul
Wikipedia - Architecture of Italy -- Overview of the architecture in Italy
Wikipedia - Architecture of Lahore -- Overview of architecture in Lahore
Wikipedia - Architecture of Lebanon -- Architecture of Lebanon
Wikipedia - Architecture of Liverpool -- Overview of architecture of Liverpool, England
Wikipedia - Architecture of London -- Overview of the architecture in London
Wikipedia - Architecture of macOS
Wikipedia - Architecture of Manchester -- Overview of the architecture of Manchester, England
Wikipedia - Architecture of Mesopotamia
Wikipedia - Architecture of Mexico -- Overview of the architecture in Mexico
Wikipedia - Architecture of Munich -- Overview of the architecture of Munich
Wikipedia - Architecture of New York City -- Overview of the architecture in New York City
Wikipedia - Architecture of New Zealand -- Overview of the architecture in New Zealand
Wikipedia - Architecture of Paris -- Overview of the architecture in Paris
Wikipedia - Architecture of Poland
Wikipedia - Architecture of Puerto Rico -- Broad variety of architectural styles
Wikipedia - Architecture of Rajasthan -- Architecture in the Indian state of Rajasthan
Wikipedia - Architecture of Rome -- Overview of the architecture in Rome
Wikipedia - Architecture of Saudi Arabia -- Overview of the architecture in Saudi Arabia
Wikipedia - Architecture of Scotland
Wikipedia - Architecture of Seattle -- Overview of the architecture in Seattle
Wikipedia - Architecture of South Africa
Wikipedia - Architecture of South Korea -- Overview of the architecture in South Korea
Wikipedia - Architecture of Sweden -- Overview of the architecture in Sweden
Wikipedia - Architecture of Switzerland -- Overview of the architecture in Switzerland
Wikipedia - Architecture of Sydney -- Overview of the architecture in Sydney
Wikipedia - Architecture of Taiwan
Wikipedia - Architecture of the medieval cathedrals of England -- Architectural style of cathedrals in England during the middle ages, 1040 to 1540
Wikipedia - Architecture of the Netherlands -- Examples of Dutch architecture
Wikipedia - Architecture of the Philippines -- Architectural styles and elements found in the Philippine archipelago
Wikipedia - Architecture of the Song dynasty -- Architecture of 11th-13th century Chinese dynasty
Wikipedia - Architecture of the United Arab Emirates -- Overview of the architecture of the United Arab Emirates
Wikipedia - Architecture of the United Kingdom -- Overview of the culture in the United Kingdom
Wikipedia - Architecture of the United States -- Broad variety of architectural styles
Wikipedia - Architecture of Turkey -- Overview of the architecture of Turkey
Wikipedia - Architecture of Windows NT
Wikipedia - ArchitectureWeek -- International weekly magazine
Wikipedia - Architecture -- The product and the process of planning, designing and constructing buildings and other structures.
Wikipedia - Architrave -- Lintel beam element in Classical architecture
Wikipedia - ARM Architecture (company)
Wikipedia - ARM Architecture
Wikipedia - ARM architecture
Wikipedia - ARM big.LITTLE -- Heterogeneous computing architecture
Wikipedia - ARM Cortex-A73 -- 64 bit ARMv8 architecture processor
Wikipedia - ARM system-on-chip architecture
Wikipedia - Arnold Bartetzky -- German art historian and architecture critic
Wikipedia - Art Nouveau architecture in Riga -- Architectural style in Riga
Wikipedia - Assam-type architecture -- Style of architecture
Wikipedia - Asymptote Architecture -- American architecture practice
Wikipedia - Atlas (architecture) -- Architectural support sculpted in the form of a man
Wikipedia - Atrium (architecture) -- Open air or skylight; architectural feature, courtyard in a Roman domus
Wikipedia - Aztec architecture -- Structural remains of the Aztec civilization
Wikipedia - Baroque architecture -- Building style of the Baroque era
Wikipedia - Baroque Revival architecture -- Architectural movement
Wikipedia - Basilica -- Type of building in classical and church architecture
Wikipedia - Batak architecture -- Architectural traditions and designs of the various Batak peoples of North Sumatra, Indonesia
Wikipedia - Bay (architecture) -- Architectural space between elements
Wikipedia - BDP Quadrangle (architecture firm) -- Canadian architecture and interior design firm
Wikipedia - Beaux-Arts architecture -- Expresses the academic neoclassical architectural style
Wikipedia - Bedesten -- Type of commercial structure in Ottoman architecture
Wikipedia - Benoy -- UK architecture firm
Wikipedia - Bibliography of Danish architecture
Wikipedia - Bibliography of encyclopedias: architecture and architects -- Wikipedia bibliography
Wikipedia - Bionic architecture -- Contemporary architetonic movement
Wikipedia - Bit-serial architecture
Wikipedia - BLDGBLOG -- Architecture blog authored by futurist Geoff Manaugh
Wikipedia - Bonnell (microarchitecture)
Wikipedia - Bremen City Hall -- Historical building, instance of Brick Gothic and Weser Renaissance architecture
Wikipedia - British megalith architecture
Wikipedia - Broadcast Driver Architecture
Wikipedia - Broadwell (microarchitecture)
Wikipedia - Brutalist architecture -- 20th century style of architecture
Wikipedia - Buddhist architecture
Wikipedia - Buildings and architecture of Bristol -- -- Buildings and architecture of Bristol --
Wikipedia - Business architecture
Wikipedia - Business-oriented architecture
Wikipedia - Byzantine architecture -- Architectural style
Wikipedia - Cache-only memory architecture
Wikipedia - Caisson (Asian architecture)
Wikipedia - Call gate (Intel) -- A mechanism in Intel's x86 architecture for changing the privilege level
Wikipedia - Canadian Centre for Architecture -- Architecture museum and research centre in Quebec, Canada
Wikipedia - Candi bentar -- Type of gate in Indonesian architecture
Wikipedia - CannonDesign -- American architecture firm
Wikipedia - Cannon Lake (microarchitecture) -- Intel processor family
Wikipedia - Cape Dutch architecture -- A traditional Afrikaner architectural style found mostly in the Western Cape of South Africa
Wikipedia - Carbuncle Cup -- Annual architecture prize given to the ugliest building in the United Kingdom
Wikipedia - Carl August Benjamin Siegel -- German architecture professor and chief of works
Wikipedia - Cascade Lake (microarchitecture) -- Intel processor family
Wikipedia - Category:21st-century architecture
Wikipedia - Category:21st-century BC architecture
Wikipedia - Category:Architecture description language
Wikipedia - Category:Architecture lists
Wikipedia - Category:ARM architecture
Wikipedia - Category:Cognitive architecture
Wikipedia - Category:Computer architecture statements
Wikipedia - Category:Computer architecture
Wikipedia - Category:Distributed computing architecture
Wikipedia - Category:East Asian architecture
Wikipedia - Category:Enterprise architecture frameworks
Wikipedia - Category:Enterprise architecture
Wikipedia - Category:Information architecture
Wikipedia - Category:Instruction set architectures
Wikipedia - Category:Intel microarchitectures
Wikipedia - Category:Italian architecture writers
Wikipedia - Category:Network architecture
Wikipedia - Category:Software architecture
Wikipedia - Catherine de' Medici's building projects -- Architecture under Catherine's patronage
Wikipedia - Cellular architecture
Wikipedia - Center of Contemporary Architecture -- Russian cultural non-governmental organization
Wikipedia - Centraalstaal -- Dutch architecture and shipbuilding company
Wikipedia - Chhatri -- Elevated, dome-shaped pavilions in Indian architecture
Wikipedia - Chicago Athenaeum -- Museum of architecture and design in Galena, Illinois, USA
Wikipedia - Chilotan architecture -- Architectural style
Wikipedia - Chinese architecture -- Style of architecture
Wikipedia - Chinese temple architecture -- Chinese religious temple
Wikipedia - Choice architecture
Wikipedia - Choir (architecture) -- Area of a church or cathedral
Wikipedia - Chola art and architecture -- Art from the period of the imperial Cholas (c. 850 CE - 1250 CE) in South India
Wikipedia - Church architecture
Wikipedia - Churrigueresque -- Baroque architecture style in Spain
Wikipedia - Ciborium (architecture) -- Canopy or covering that covers the altar in a church
Wikipedia - CLARION (cognitive architecture)
Wikipedia - Classical architecture -- Architectural style
Wikipedia - Classical order -- Styles of classical architecture, most readily recognizable by the type of column employed
Wikipedia - Claus Bech-Danielsen -- Danish architecture professor
Wikipedia - Clinical Document Architecture -- XML standard for clinical documents
Wikipedia - Clipper architecture
Wikipedia - Cognitive architectures
Wikipedia - Cognitive architecture
Wikipedia - COLA (software architecture)
Wikipedia - Colonial Revival architecture
Wikipedia - Comet Lake (microprocessor) -- Intel processor microarchitecture
Wikipedia - Common Object Request Broker Architecture
Wikipedia - Comparison of CPU architectures
Wikipedia - Comparison of instruction set architectures
Wikipedia - Competency architecture -- Framework of skills used in competency-based learning
Wikipedia - Computer architecture simulator
Wikipedia - Computer Architecture
Wikipedia - Computer architecture -- Set of rules and methods that describe the functionality, organization, and implementation of computer systems
Wikipedia - Conceptual architecture
Wikipedia - Congres Internationaux d'Architecture Moderne -- Modern architecture movement organization
Wikipedia - Consolidated Clinical Document Architecture -- XML standard for clinical documents
Wikipedia - Constructivist architecture -- Form of modern architecture that flourished in the Soviet Union in the 1920s and early 1930s
Wikipedia - Contemporary architecture -- Broad range of styles of recently built structures
Wikipedia - Contextual architecture -- Type of architecture
Wikipedia - Cooper Lake (microarchitecture)
Wikipedia - Cope and Stewardson -- American architecture firm
Wikipedia - Coping (architecture) -- Covering for the top of a wall
Wikipedia - Coptic architecture
Wikipedia - Core architecture data model
Wikipedia - Core (microarchitecture)
Wikipedia - Corinthian order -- Latest of the three principal classical orders of ancient Greek and Roman architecture
Wikipedia - Cornell University College of Architecture, Art, and Planning
Wikipedia - Critical regionalism -- Approach to architecture
Wikipedia - Crossing (architecture)
Wikipedia - CTCF -- Transcription factor for transcriptional regulation, insulator activity, and regulation of chromatin architecture
Wikipedia - Curjel and Moser -- German architecture company
Wikipedia - Curtain wall (architecture) -- Outer non-structural walls of a building
Wikipedia - Cytoarchitecture
Wikipedia - Czech architecture
Wikipedia - Daihatsu New Global Architecture -- Automobile platform made by Daihatsu
Wikipedia - Darwin Information Typing Architecture
Wikipedia - Data Architecture
Wikipedia - Data architecture
Wikipedia - Database-centric architecture
Wikipedia - Dataflow architecture
Wikipedia - Data Presentation Architecture
Wikipedia - Data presentation architecture
Wikipedia - De architectura -- Treatise on architecture by Vitruvius
Wikipedia - Delugan Meissl Associated Architects -- Austrian architecture firm
Wikipedia - Densmore and LeClear -- Architecture firm in Boston, Massachusetts
Wikipedia - Dezeen -- Architecture and design website
Wikipedia - Diana Kleiner -- Historian of art and architecture
Wikipedia - Diane Brand -- New Zealand architecture academic
Wikipedia - Diane Pearson (landscape architect) -- New Zealand landscape architecture academic
Wikipedia - Differentiable neural computer -- Artificial neural network architecture
Wikipedia - Differentiated services -- Networking architecture for prioritizing traffic
Wikipedia - Digital architecture
Wikipedia - DIGITAL Network Architecture
Wikipedia - Disciplinary architecture
Wikipedia - Distributed architecture
Wikipedia - Distributed Data Management Architecture
Wikipedia - Divan (Mughal architecture) -- Two types of palatial buildings in Indian courts
Wikipedia - Dora Epstein-Jones -- Historian of architecture
Wikipedia - Doric order -- Order of ancient Greek and Roman architecture
Wikipedia - DP Architects -- Architecture and urban design practice in Singapore
Wikipedia - Draft:Adrian Cockcroft -- Cloud architecture
Wikipedia - Draft:Advanced Matrix Extensions -- Extensions to the x86 instruction set architecture for microprocessors from Intel and AMD
Wikipedia - DUAL (cognitive architecture)
Wikipedia - Dzong architecture
Wikipedia - Eames & Young -- American architecture firm
Wikipedia - Early Christian art and architecture
Wikipedia - Earthquake Baroque -- Baroque architecture in the Philippines intended to resist earthquakes.
Wikipedia - East Asian hip-and-gable roof -- Type of roof in East Asian architecture
Wikipedia - Eastern Orthodox church architecture
Wikipedia - Eckert architecture
Wikipedia - Eclecticism in architecture -- Architectural style
Wikipedia - Egyptian Revival architecture -- Architectural style
Wikipedia - Eleonora Bergman -- Polish architecture historian
Wikipedia - Elizabeth Farrelly -- New Zealand-Australian architecture critic and writer
Wikipedia - Endless House -- Work of conceptual architecture by Frederick Kiesler
Wikipedia - English Gothic architecture -- Architectural style in Britain
Wikipedia - Enterprise Architecture Framework
Wikipedia - Enterprise Architecture framework
Wikipedia - Enterprise architecture framework
Wikipedia - Enterprise Architecture Planning
Wikipedia - Enterprise architecture planning
Wikipedia - Enterprise Architecture Process
Wikipedia - Enterprise architectures
Wikipedia - Enterprise Architecture
Wikipedia - Enterprise architecture
Wikipedia - Enterprise information security architecture
Wikipedia - Enterprise service bus -- Communication system in a service-oriented architecture
Wikipedia - Ernest Binfield Havell -- British art historian specializing in Indian art and architecture (1861-1934)
Wikipedia - Escola da Cidade -- Private architecture school in Brazil
Wikipedia - Etruscan architecture -- Architecture of the Etruscan civilization
Wikipedia - European Union Prize for Contemporary Architecture -- Architecture prize
Wikipedia - Event-driven architecture
Wikipedia - Exhibit Columbus -- Annual exploration of architecture, art, design, and community in Columbus, Indiana
Wikipedia - Explicitly parallel instruction computing -- Instruction set architecture
Wikipedia - Expressionist architecture -- Architectural style
Wikipedia - Extensible Name Service -- An XML-based digital identity architecture
Wikipedia - Extensible Text Framework -- Architecture for indexing, searching, and displaying digital objects
Wikipedia - Farrells -- British architecture firm
Wikipedia - Fascist architecture -- Architectural style
Wikipedia - Fatimid architecture
Wikipedia - Fatimid art -- Arab artifacts and architecture from the Fatimid Caliphate (909-1171)
Wikipedia - Federal architecture -- Architectural style in the USA
Wikipedia - Federal Enterprise Architecture Framework
Wikipedia - Federal Enterprise Architecture
Wikipedia - Federal enterprise architecture
Wikipedia - Feminism and modern architecture
Wikipedia - Fina (architecture) -- Narrow public space immediately alongside buildings
Wikipedia - Finding Lost Space: Theories of Urban Design -- Architecture book by Roger Trancik
Wikipedia - First Period -- American colonial architecture and design time period (1626 - 1725)
Wikipedia - Fishbowl (secure phone) -- Mobile phone architecture
Wikipedia - Fiske & Meginnis -- American architecture firm
Wikipedia - FLAGS register -- Status register of x86 architecture
Wikipedia - Flamboyant -- Florid style of late Gothic architecture
Wikipedia - Flynn's taxonomy -- Classification of computer architectures
Wikipedia - FORR -- Type of cognitive architecture
Wikipedia - Fountain -- Architecture which pours water into a basin or jets it into the air
Wikipedia - Francesco Borromini -- Italian architect and leading figure in Roman Baroque architecture
Wikipedia - Francesco Dal Co -- Italian historian of architecture
Wikipedia - French architecture -- Overview of the architecture in France
Wikipedia - French Renaissance architecture -- Style of French architecture
Wikipedia - French Romanesque architecture
Wikipedia - Friedrich Achleitner -- Austrian poet and architecture critic
Wikipedia - Functionalism (architecture) -- Principle which defines a type of architecture
Wikipedia - Functional Programming Languages and Computer Architecture
Wikipedia - Functional Software Architecture
Wikipedia - Future Imagery Architecture -- American spy satellite program
Wikipedia - Futurist architecture -- Architectural style
Wikipedia - Galilee (church architecture)
Wikipedia - Gates of Hausa kingdoms -- Hausa architecture
Wikipedia - Gavaksha -- Motif centred on an arch in Indian rock-cut architecture
Wikipedia - General Architecture for Text Engineering
Wikipedia - Generalised Enterprise Reference Architecture and Methodology
Wikipedia - Genetic architecture
Wikipedia - Georgian architecture -- Architectural styles current in the English-speaking world between c. 1714 and 1830
Wikipedia - Gh3* -- Canadian Architecture firm
Wikipedia - Gingerbread (architecture) -- Architectural style from Haiti
Wikipedia - Girih -- Geometric patterns in Islamic architecture etc.
Wikipedia - Glossary of architecture -- List of definitions of terms and concepts used in architecture
Wikipedia - Gongbei (Islamic architecture)
Wikipedia - Googie architecture -- Form of post-modern architecture style, a subdivision of futurist architecture
Wikipedia - Gothic architecture -- Architectural style of Medieval Europe
Wikipedia - Gothic Revival architecture -- Architectural movement
Wikipedia - Gracemont (microarchitecture)
Wikipedia - Greek architecture
Wikipedia - Greek Revival architecture
Wikipedia - Grotesque (architecture) -- Fantastic or mythical figure used as architectural element
Wikipedia - Gustafson's law -- Theoretical speedup formula in computer architecture
Wikipedia - Hammerbeam roof -- A decorative, open timber roof truss typical of English Gothic architecture
Wikipedia - Harvard architecture
Wikipedia - Hassell (architecture firm) -- Architecture firm
Wikipedia - Haswell (microarchitecture) -- Intel processor microarchitecture
Wikipedia - HATEOAS -- Abbreviation for Hypermedia as the Engine of Application State, a constraint of the REST application architecture
Wikipedia - Hausa architecture -- Architecture of Hausa people
Wikipedia - Hazard (computer architecture)
Wikipedia - Heinrich Tessenow Medal -- Architecture prize
Wikipedia - Herbert Muschamp -- American architecture critic
Wikipedia - Heritage Open Days -- Annual celebration of England's architecture
Wikipedia - Herodian architecture -- Style of classical architecture during the reign of Herod the Great
Wikipedia - Heterogeneous System Architecture
Wikipedia - High Gothic -- Refined and imposing style of Gothic architecture
Wikipedia - High-level architecture
Wikipedia - High Level Architecture -- Standard for distributed simulation
Wikipedia - High-tech architecture -- Architectural style that emerged in the 1970s
Wikipedia - Hindu temple architecture
Wikipedia - History of architecture -- Field of history focused on architecture
Wikipedia - History of business architecture
Wikipedia - History of college campuses and architecture in the United States -- Aspect of American architectural history
Wikipedia - History of Persian domes -- Part of Persian architecture
Wikipedia - HOK (firm) -- American design, architecture, engineering and planning firm
Wikipedia - Honigsberg & Deutsch -- Architecture studio in Zagreb
Wikipedia - Hopper (microarchitecture)
Wikipedia - Hostile architecture -- public-space design to discourage crime or unintended uses
Wikipedia - House & Home -- Former monthly architecture magazine
Wikipedia - Hoysala architecture -- The building style developed under the rule of the Hoysala Empire between the 11th and 14th centuries, in the region known today as Karnataka, in India
Wikipedia - Humphry Wakefield -- English baronet and expert on antiques and architecture
Wikipedia - Hyphen (architecture) -- Architectural element
Wikipedia - IA-64 -- Instruction set architecture of the Itanium family of 64-bit Intel microprocessors
Wikipedia - IBM BladeCenter -- Blade server architecture by IBM
Wikipedia - IBM Floating Point Architecture
Wikipedia - IBM High Level Assembler -- Modern assembler for programs on IBM's z/Architecture systems
Wikipedia - IBM POWER Instruction Set Architecture
Wikipedia - IBM POWER instruction set architecture -- Instruction set
Wikipedia - IBM System/360 architecture
Wikipedia - IBM Systems Application Architecture
Wikipedia - Ice Lake (microprocessor) -- Intel processor microarchitecture
Wikipedia - IEEE 1471 -- Superseded IEEE standard for describing software architecture
Wikipedia - Imbrex and tegula -- Overlapping roof tiles used in ancient Greek and Roman architecture
Wikipedia - Index of architecture articles -- Wikipedia index
Wikipedia - Indian vernacular architecture -- Informal, functional architecture of structures, often in rural areas of India, built of local materials
Wikipedia - Indo-Islamic architecture -- Islamic architecture in India
Wikipedia - Industrial architecture
Wikipedia - Industry Standard Architecture
Wikipedia - Information Architecture Institute
Wikipedia - Information Architecture
Wikipedia - Information architecture
Wikipedia - Information Systems Security Architecture Professional
Wikipedia - Information technology architecture
Wikipedia - Instruction set architectures
Wikipedia - Instruction Set Architecture
Wikipedia - Instruction set architecture -- Set of abstract symbols which describe a computer program's operations to a processor
Wikipedia - Insula (building) -- facet of Roman architecture
Wikipedia - Integrated Public Alert and Warning System -- American emergency alert architecture
Wikipedia - Intel Architecture Labs
Wikipedia - Intel Core (microarchitecture) -- Intel processor microarchitecture
Wikipedia - Intel P5 (microarchitecture)
Wikipedia - Intel SHA extensions -- Extensions to the x86 instruction set architecture
Wikipedia - Interior architecture
Wikipedia - Interleaved memory -- Computer memory access architecture
Wikipedia - International Style (architecture) -- Type of modernist architecture
Wikipedia - International Symposium on Computer Architecture
Wikipedia - International Symposium on Microarchitecture
Wikipedia - Internet Architecture Board
Wikipedia - Internet architecture
Wikipedia - Interrupt request (PC architecture) -- Hardware signal sent to a processor to interrupt a running program and handle input
Wikipedia - Inverted pyramid (architecture) -- Structure in the shape of an upside-down pyramid
Wikipedia - Ionic order -- Order of classical architecture characterized by the use of volutes in the capital and a base moulding on the columns
Wikipedia - Iranian architecture
Wikipedia - Islamic architecture -- Architectural style
Wikipedia - ISO/IEC 42010 -- Standard for software architecture description
Wikipedia - Ivy Bridge (microarchitecture)
Wikipedia - Jagati (temple) -- Hindu temple architecture
Wikipedia - Jaguar (microarchitecture)
Wikipedia - Japanese architecture -- Overview of the architecture in Japan
Wikipedia - Japanese Buddhist architecture
Wikipedia - Jini -- Network architecture for distributed systems
Wikipedia - Johnston Marklee & Associates -- Architecture firm in Los Angeles, California
Wikipedia - JSP model 2 architecture
Wikipedia - Julie V. Iovine -- American writer on architecture
Wikipedia - Kepler (microarchitecture)
Wikipedia - Khanqah -- Type of building in Islamic architecture
Wikipedia - Knights Landing (microarchitecture)
Wikipedia - Korean architecture -- Overview of architecture typical for Korea
Wikipedia - Kryo (microarchitecture)
Wikipedia - Laboratory for Analysis and Architecture of Systems
Wikipedia - Lahore: History and Architecture of Mughal Monuments -- Non-Fiction Book
Wikipedia - Lanai (architecture) -- A type of roofed, open-sided veranda, patio or porch originating in HawaiM-JM-;i
Wikipedia - Landscape architecture
Wikipedia - Lang Wilson Practice in Architecture Culture -- Canadian architectural firm
Wikipedia - Larrabee (microarchitecture)
Wikipedia - Latency oriented processor architecture
Wikipedia - Le Corbusier's Five Points of Architecture -- Architecture manifesto by Le Corbusier
Wikipedia - Leslie Kanes Weisman -- American architecture educator, activist and community planner
Wikipedia - Less is more (architecture)
Wikipedia - Lewis.Tsurumaki.Lewis (LTL Architects) -- Architecture firm
Wikipedia - Library Oriented Architecture
Wikipedia - LIDA (cognitive architecture)
Wikipedia - List of AcadM-CM-)mie des Beaux-Arts members: Architecture -- Wikipedia list article
Wikipedia - List of AMD CPU microarchitectures -- Wikipedia list article
Wikipedia - List of architecture awards -- Wikipedia list article
Wikipedia - List of architecture film festivals -- Wikipedia list article
Wikipedia - List of architecture firms -- Wikimedia list article
Wikipedia - List of architecture magazines -- Wikipedia list article
Wikipedia - List of architecture schools in Bangladesh -- Wikipedia list article
Wikipedia - List of architecture schools in Italy -- Wikipedia list article
Wikipedia - List of architecture schools in Switzerland -- Wikipedia list article
Wikipedia - List of architecture schools -- Wikipedia list article
Wikipedia - List of ARM microarchitectures -- Wikipedia list article
Wikipedia - List of Art Deco architecture -- Wikipedia list article
Wikipedia - List of British architecture firms -- Wikipedia list article
Wikipedia - List of Buddhist architecture in China
Wikipedia - List of Ghost in the Shell: Arise - Alternative Architecture episodes -- Wikipedia list article
Wikipedia - List of Googie architecture structures (Canada) -- Wikipedia list article
Wikipedia - List of Googie architecture structures (United States) -- Wikipedia list article
Wikipedia - List of Intel CPU microarchitectures -- Wikipedia list article
Wikipedia - List of Linux-supported computer architectures
Wikipedia - List of MIPS architecture processors -- Wikipedia list article
Wikipedia - List of works by Paley and Austin -- works by architecture firm
Wikipedia - List of World Architecture Festival winners -- Wikipedia list article
Wikipedia - List of World's Fair architecture -- Wikimedia list article
Wikipedia - Load/store architecture
Wikipedia - Loculus (architecture)
Wikipedia - Luckett & Farley -- American architecture, engineering, and interior design firm
Wikipedia - Machado and Silvetti Associates -- Architecture and urban design firm
Wikipedia - MacKay-Lyons Sweetapple Architects -- Architecture firm
Wikipedia - Manchester School of Architecture -- Architecture school in Manchester, England
Wikipedia - Maps of Meaning: The Architecture of Belief
Wikipedia - Mariagroup -- Multinational architecture and design firm
Wikipedia - Mark Lamster -- American architecture writer and critic
Wikipedia - Marmol Radziner -- Architecture firm based in Los Angeles
Wikipedia - Mars to Stay -- Mars colonization architecture proposing no return vehicles
Wikipedia - Martyrium (architecture)
Wikipedia - Master of Architecture -- Master's degree
Wikipedia - Material culture -- Physical aspect of culture in the objects and architecture that surround people
Wikipedia - Mathematics and architecture
Wikipedia - Maxwell (microarchitecture)
Wikipedia - Medaillon (architecture)
Wikipedia - Mediator pattern -- Software architecture design pattern
Wikipedia - Medieval architecture
Wikipedia - Memory architecture -- Methods used to implement electronic computer data storage
Wikipedia - Memory bank -- Logical unit of storage in computer architecture
Wikipedia - Mesoamerican architecture -- Building traditions of pre-Columbian Mesoamerica
Wikipedia - Metabolism (architecture)
Wikipedia - Method Framework for Engineering System Architectures
Wikipedia - Microarchitecture
Wikipedia - Minimal instruction set computer -- CPU architecture
Wikipedia - MIPS architecture -- Instruction set architecture
Wikipedia - Mission Revival architecture -- Architectural movement and style
Wikipedia - MIT School of Architecture and Planning
Wikipedia - Maru-Gurjara architecture -- Style of north Indian temple architecture
Wikipedia - Model-Driven Architecture
Wikipedia - Model-driven architecture
Wikipedia - Model-view-viewmodel -- Software architecture design pattern
Wikipedia - Modern Architecture
Wikipedia - Modern architecture -- Broad type of architecture
Wikipedia - Modernist architecture
Wikipedia - Modern ruins -- Ruins of architecture constructed in the recent past
Wikipedia - Modified Harvard architecture
Wikipedia - Moldavian style -- architecture
Wikipedia - Mongoose (microarchitecture)
Wikipedia - Monolithic architecture -- Buildings carved or excavated from a single material, usually rock
Wikipedia - Moorish architecture -- Architectural style historically developed in the western Islamic world
Wikipedia - Morphogenesis (architecture firm) -- Architectural firm in India
Wikipedia - Motorola 88000 -- RISC instruction set architecture
Wikipedia - MSX -- a family of standardized home computer architectures released between 1983 and 1990
Wikipedia - MTS system architecture
Wikipedia - Mughal architecture -- Indo-Islamic architecture from 16th to 18th century India
Wikipedia - Multi-channel memory architecture
Wikipedia - Multiple-channel architecture -- Type of wireless network design
Wikipedia - Multiprocessor system architecture
Wikipedia - Multithreading (computer architecture)
Wikipedia - Multitier architecture
Wikipedia - Muscle architecture -- Physical arrangement of muscle fibers at the macroscopic level
Wikipedia - National Park Service rustic -- Style of architecture developed in 20th century for the United States National Park Service
Wikipedia - Naval architecture -- Engineering discipline dealing with the design and construction of marine vessels
Wikipedia - NEC SX architecture
Wikipedia - Nehalem (microarchitecture)
Wikipedia - Neo-Byzantine architecture in the Russian Empire
Wikipedia - Neoclassical architecture -- Architectural style
Wikipedia - Neo-eclectic architecture -- Style of architecture
Wikipedia - Neo-futurism -- Late-20th- to early-21st-century movement in the arts, design, and architecture
Wikipedia - Neolithic architecture
Wikipedia - NetBurst (microarchitecture) -- Intel processor microarchitecture
Wikipedia - Netherlands Architecture Institute -- Former cultural institute for architecture and urban development in Rotterdam, Netherlands
Wikipedia - Netwide Assembler -- Assembler for the Intel x86 architecture
Wikipedia - Network architecture
Wikipedia - Neural architecture search
Wikipedia - New Classical architecture -- Architectural movement
Wikipedia - New Objectivity (architecture) -- Architecture movement in (mainly German-speaking) Europe
Wikipedia - Niche (architecture)
Wikipedia - Nilachal architecture -- Hindu temple architecture in the state of Assam.
Wikipedia - Non-RAID drive architectures
Wikipedia - Nordic megalith architecture
Wikipedia - Norman architecture
Wikipedia - Odessa State Academy of Civil Engineering and Architecture -- Other organization in Odesa, Ukraine
Wikipedia - Omnigenic model -- Model of genetic architecture of complex traits
Wikipedia - ONG&ONG -- Architecture and urban design company in Singapore
Wikipedia - Open Architecture Network
Wikipedia - Open architecture
Wikipedia - Open-architecture
Wikipedia - Open Document Architecture
Wikipedia - OpenGL Architecture Review Board
Wikipedia - Open Grid Services Architecture
Wikipedia - Open-source architecture
Wikipedia - Opus africanum -- A form of ashlar masonry used in Carthaginian and ancient Roman architecture
Wikipedia - Organic architecture
Wikipedia - Organizational architecture
Wikipedia - Origins and architecture of the Taj Mahal -- History and construction of the Taj Mahal
Wikipedia - Ottoman architecture -- Architecture of the Ottoman Empire
Wikipedia - Outline of architecture
Wikipedia - P5 (microarchitecture) -- Intel microporocessor
Wikipedia - P6 (microarchitecture)
Wikipedia - Pakistani architecture -- Overview of Pakistani architecture
Wikipedia - Palazzo style architecture -- Imitative of Italian palazzi
Wikipedia - Palladian architecture -- Style of architecture derived from the work of Venetian Andrea Palladio
Wikipedia - Palm Cove (microarchitecture)
Wikipedia - Pandemonium architecture
Wikipedia - Paned window (architecture) -- Type of window in architecture
Wikipedia - Parallel programming model -- Abstraction of parallel computer architecture, with which it is convenient to express algorithms and their composition in programs
Wikipedia - Pascal (microarchitecture)
Wikipedia - Patkau Architects -- Architecture firm based in Vancouver, British Columbia, Canada
Wikipedia - Pattern (architecture)
Wikipedia - Pattern-Oriented Software Architecture
Wikipedia - Patton & Miller -- Historic architecture firm
Wikipedia - Pavement (architecture) -- Stone or tile structure which can serve as floor; pavement type with solid blocks
Wikipedia - PDP-11 architecture
Wikipedia - Pediment -- Element in classical, neoclassical and baroque architecture
Wikipedia - Peer-to-peer -- Type of decentralized and distributed network architecture
Wikipedia - Pentium M (microarchitecture)
Wikipedia - Perpendicular Gothic -- Third historical division of English Gothic architecture
Wikipedia - Phenomenology (architecture)
Wikipedia - Pointed arch (architecture) -- History and construction of pointed arch
Wikipedia - Polish architecture
Wikipedia - Pontifical Catholic University of Puerto Rico School of Architecture -- School of architecture located in the Ponce Historic Zone in Ponce, Puerto Rico
Wikipedia - Portal:Architecture
Wikipedia - Portal (architecture) -- Access opening in a wall of a structure
Wikipedia - Postmodern architecture -- Architectural style
Wikipedia - Postmodernism -- A broad movement in the mid-to-late 20th century across philosophy, the arts, architecture, and criticism
Wikipedia - POWER1 -- Multi-chip CPU by IBM implementing the POWER instruction set architecture
Wikipedia - Power ISA -- Computer instruction set architecture
Wikipedia - PowerPC -- RISC instruction set architecture by AIM alliance
Wikipedia - Ppc64 -- 64-bit big-endian PowerPC architecture
Wikipedia - Pre-Romanesque art and architecture
Wikipedia - Pritzker Architecture Prize -- Architecture prize
Wikipedia - Prix de Rome (Canada) -- Canadian architecture award
Wikipedia - Prix Versailles 2015 -- Architecture award
Wikipedia - Prix Versailles 2016 -- Architecture award
Wikipedia - Prix Versailles 2017 -- Architecture award
Wikipedia - Prix Versailles 2018 -- Architecture award
Wikipedia - Prix Versailles 2019 -- Architecture award
Wikipedia - Prix Versailles 2020 -- Architecture award
Wikipedia - Prix Versailles -- Annual architecture award
Wikipedia - Process architecture -- Structural design of general process systems
Wikipedia - Proportion (architecture)
Wikipedia - Pueblo Deco architecture -- Architectural movement
Wikipedia - Pueblo Revival architecture -- Architectural movement
Wikipedia - Puma (microarchitecture)
Wikipedia - Purdue Enterprise Reference Architecture
Wikipedia - Purism (Spanish architecture)
Wikipedia - Pylon (architecture) -- Monumental gateway of an Egyptian temple
Wikipedia - Quadrangle (architecture) -- Open space or courtyard
Wikipedia - Quadrant (architecture)
Wikipedia - Quatrefoil -- Artistic representation of four circular leaf shapes used in architecture
Wikipedia - Queen Anne style architecture in the United States -- Architectural style during Victorian Era
Wikipedia - Qutb Shahi architecture -- Indo-Islamic architectural style
Wikipedia - Rafael Manzano Prize -- Architecture prize
Wikipedia - Rationalism (architecture) -- Architectural style
Wikipedia - RDNA (microarchitecture) -- GPU microarchitecture and accompanying instruction set architecture
Wikipedia - Register memory architecture
Wikipedia - Renaissance architecture in Central and Eastern Europe
Wikipedia - Renaissance architecture in Portugal
Wikipedia - Renaissance architecture -- Style of architecture
Wikipedia - Renata Holod -- Historian of art and architecture and archaeologist of the Islamic world
Wikipedia - Resolution: 4 Architecture -- Architecture firm in New York City
Wikipedia - Revuelta Vega Leon -- American architecture firm
Wikipedia - Rice University School of Architecture
Wikipedia - RISC-V -- Open-source CPU hardware instruction set architecture
Wikipedia - Robert A.M. Stern Architects -- American architecture firm
Wikipedia - Rock-cut architecture -- The creation of structures, buildings, and sculptures by excavating solid rock
Wikipedia - Rocket Lake -- Intel processor microarchitecture
Wikipedia - Roman brick -- A style of brick used in Ancient Roman architecture
Wikipedia - Romanesque architecture -- Architectural style of Medieval Europe
Wikipedia - Romanesque secular and domestic architecture -- Period of architectural design
Wikipedia - Romanian architecture
Wikipedia - Rood screen -- Partition found in medieval church architecture
Wikipedia - Rotunda (architecture) -- Building with a circular ground plan
Wikipedia - Ruins -- Remains of human-made architecture
Wikipedia - Ruin value -- Concept in architecture
Wikipedia - Russian Architecture
Wikipedia - Russian architecture -- Overview of the architecture in Russia
Wikipedia - Sacred architecture
Wikipedia - Sala (Thai architecture) -- Open pavilion
Wikipedia - Sandakada pahana -- Carved semicircular stone slab in Sinhalese architecture of ancient Sri Lanka
Wikipedia - Sandy Bridge (microarchitecture)
Wikipedia - Sandy Bridge -- Intel processor microarchitecture
Wikipedia - Sarah Booth Conroy Prize -- Architecture prize
Wikipedia - Sarasota School of Architecture -- Architectural style
Wikipedia - SARP Honorary Award -- Architecture prize
Wikipedia - School of Architecture and Construction Trades -- Magnet high school in Passaic County, New Jersey, United States
Wikipedia - Scott Brownrigg -- British architecture practice headquartered in London
Wikipedia - Scottish baronial architecture -- Style of architecture with sixteenth century origins
Wikipedia - Search oriented architecture
Wikipedia - Sebka -- Decorative motif in Islamic architecture
Wikipedia - Second Empire architecture
Wikipedia - Sekhari (architecture) -- Type of northern Indian tower or spire
Wikipedia - Seljuk architecture -- Building tradions used by Seljuk dynasty
Wikipedia - Semantic service-oriented architecture
Wikipedia - Serial Storage Architecture -- Disk drive protocol
Wikipedia - Service-oriented architectures
Wikipedia - Service-Oriented Architecture
Wikipedia - Service oriented architecture
Wikipedia - Service-oriented architecture -- Architectural pattern in software design
Wikipedia - Service (systems architecture)
Wikipedia - Shard (database architecture) -- Horizontal partition of data in a database or search engine
Wikipedia - Shared memory architecture
Wikipedia - Shared nothing architecture
Wikipedia - Shared-nothing architecture
Wikipedia - Shikhara -- tower or spire in Indian temple architecture
Wikipedia - Shipping container architecture -- Buildings constructed using modules, like shipping containers
Wikipedia - Shore Tilbe Perkins+Will -- Architecture firm
Wikipedia - Siddheshwar temple, Toka -- Yadava architecture based Hindu temple
Wikipedia - Silesian architecture
Wikipedia - Sima (architecture) -- Upturned edge of an ancient roof
Wikipedia - Simple Modular Architecture Research Tool -- Biological database
Wikipedia - Simulation Open Framework Architecture -- Open source framework primarily targeted at real-time physical simulation
Wikipedia - Sino-Portuguese architecture -- Asian hybrid architecture style
Wikipedia - Skylake (microarchitecture)
Wikipedia - Skyscraper Museum -- Architecture museum in Manhattan, New York
Wikipedia - SLCE Architects -- Architecture firm
Wikipedia - Snohetta (company) -- Architecture firm based in Oslo and New York
Wikipedia - Soar (cognitive architecture)
Wikipedia - Social information architecture
Wikipedia - Socio-architecture
Wikipedia - Socket AM4 -- CPU socket for AMD processors with Zen and Excavator architectures
Wikipedia - Software architecture -- High level structures of a software system
Wikipedia - Sondergotik -- Style of Late Gothic architecture
Wikipedia - Space architecture -- Architecture
Wikipedia - Spaces: The Architecture of Paul Rudolph -- 1983 film
Wikipedia - Spanish architecture -- Architecture of buildings in Spain
Wikipedia - Spanish Baroque architecture -- Architecture of the Baroque era in Spain and its former colonies
Wikipedia - Spanish Colonial architecture
Wikipedia - Spanish Colonial Revival architecture -- Architectural style
Wikipedia - Spanish Renaissance architecture -- Style of architecture
Wikipedia - SPARC processor architecture
Wikipedia - Spirit of Wood Architecture Award -- Architecture prize
Wikipedia - Staged event-driven architecture
Wikipedia - Stalinist architecture -- Architectural style
Wikipedia - Stilts (architecture) -- Poles, posts or pillars that raise a structure above ground or water level
Wikipedia - Stone ender -- Style of Rhode Island architecture
Wikipedia - Stoop (architecture)
Wikipedia - Streamline Moderne -- Late type of the Art Deco architecture and design
Wikipedia - Structuralism (architecture) -- Movement in architecture
Wikipedia - StudioMDA -- American architecture firm
Wikipedia - Subsumption architecture
Wikipedia - Sudano-Sahelian architecture -- Range of similar indigenous architectural styles in West Africa
Wikipedia - Summer architecture -- a term used to describe houses built by the Portuguese elite so that they could be close to the Royal Family during its summer holidays in Cascais
Wikipedia - Supercomputer architecture
Wikipedia - SuperH -- Instruction set architecture by Hitachi
Wikipedia - Superscalar architecture
Wikipedia - Sustainable architecture -- Architecture designed to minimize environmental impact
Wikipedia - Sustainable landscape architecture
Wikipedia - Swayambhu (architecture)
Wikipedia - SX architecture
Wikipedia - Symposium on Parallel Algorithms and Architectures
Wikipedia - Symposium on Parallelism in Algorithms and Architectures
Wikipedia - Synagogue architecture
Wikipedia - System architecture
Wikipedia - Systems architecture
Wikipedia - Systems Network Architecture
Wikipedia - Tagged architecture
Wikipedia - Template talk:RISC architectures
Wikipedia - TeraScale (microarchitecture) -- Codename for a family of graphics processing unit microarchitectures
Wikipedia - Tesla (microarchitecture) -- GPU microarchitecture designed by NVIDIA
Wikipedia - Texas Instruments Graphics Architecture
Wikipedia - Thai temple art and architecture
Wikipedia - The 20th-Century Architecture of Frank Lloyd Wright -- UNESCO World Heritage site
Wikipedia - The Seven Lamps of Architecture -- Essay on architecture by John Ruskin
Wikipedia - Tibetan Buddhist architecture
Wikipedia - Tiger Lake (microarchitecture)
Wikipedia - Timeline of architecture
Wikipedia - Tourelle (architecture) -- Type of turret
Wikipedia - Toward an Architecture -- Book on architecture and aesthetics by Le Corbusier
Wikipedia - Transport triggered architecture
Wikipedia - Tree testing (information architecture)
Wikipedia - Trefoil -- Artistic representation of three circular leaf shapes used in architecture
Wikipedia - Tremont (microarchitecture)
Wikipedia - Triglyph -- Vertically channeled tablets of the Doric frieze in classical architecture
Wikipedia - TRIPS architecture
Wikipedia - Tudor Revival architecture -- Architectural style
Wikipedia - Tyler School of Art and Architecture -- School at Temple University
Wikipedia - Tympanum (architecture) -- Architectural element
Wikipedia - UCLA School of the Arts and Architecture -- School of the Arts and Architecture
Wikipedia - Ukrainian architecture -- Architecture of Ukraine
Wikipedia - Unified Memory Architecture
Wikipedia - Universal Audio Architecture
Wikipedia - University of Kentucky College of Design -- College of Design and Architecture of the University of Kentucky in Lexington, KY, USA
Wikipedia - Unix architecture
Wikipedia - Vastu shastra -- Architecture and design-related texts of India
Wikipedia - Venetian Renaissance architecture
Wikipedia - Ventilation (architecture) -- Intentional introduction of outside air into a space
Wikipedia - Vernacular architecture -- Category of architecture based on local needs, construction materials and reflecting local traditions
Wikipedia - Vestibule (Architecture)
Wikipedia - Vestibule (architecture) -- Anteroom (antechamber) or small foyer leading into a larger space
Wikipedia - Victorian Architecture Awards -- Australian architecture awards
Wikipedia - Victorian architecture -- Series of architectural revival styles
Wikipedia - Viga (architecture) -- Architectural wood beamed roof beams
Wikipedia - VISC architecture
Wikipedia - Vishvakarman -- Hindu god of Architecture
Wikipedia - Visigothic art and architecture
Wikipedia - Volta (microarchitecture) -- GPU microarchitecture designed by NVIDIA
Wikipedia - Volume Magazine -- Dutch quarterly architecture magazine
Wikipedia - Von Neumann architecture
Wikipedia - WAI Architecture Think Tank -- Architectural practice in China
Wikipedia - Waterleaf (architecture) -- Sculptural decoration used on the capitals of columns in late twelfth century Romanesque architecture
Wikipedia - Wendingen -- Dutch architecture and art magazine
Wikipedia - Werner Hegemann -- German city planner and architecture critic
Wikipedia - West 8 -- Landscape architecture firm (est.1987) based in Rotterdam
Wikipedia - Western Chalukya architecture -- Style of architecture from the 11th and 12th century Western Chalukya Empire in modern central Karnataka, India
Wikipedia - Wikipedia:WikiProject Architecture -- Wikimedia subject-area collaborative project
Wikipedia - Windows Hardware Error Architecture
Wikipedia - Women in architecture
Wikipedia - Women's School of Planning and Architecture -- The Women's School of Planning and Architecture (WSPA) was an educational program for women interested in architecture, planning, and environmental design that presented sessions and symposia based on principles of the women's liberation movement between 1976 and 1981
Wikipedia - Wong Tung & Partners -- Hong Kong architecture and design firm
Wikipedia - Woods Bagot -- Architecture firm
Wikipedia - Word (computer architecture) -- Base memory unit handled by a computer
Wikipedia - X86 architecture
Wikipedia - X86 -- Family of instruction set architectures
Wikipedia - X-Gene (microarchitecture)
Wikipedia - Xiomara Blandino -- Nicaraguan architecture student
Wikipedia - XRX (web application architecture)
Wikipedia - Yale School of Architecture -- Architecture school
Wikipedia - Zantzinger, Borie & Medary -- American architecture firm
Wikipedia - Z/Architecture -- IBM's 64-bit instruction set architecture implemented by its mainframe computers
Wikipedia - Zen 2 -- 2019 AMD 7-nanometre processor microarchitecture
Wikipedia - Zen 3 -- 2020 AMD 7-nanometre processor microarchitecture
Wikipedia - Zen (first generation microarchitecture) -- 2017 AMD 14-nanometre processor microarchitecture
Wikipedia - Zen+ -- 2018 AMD 12-nanometre processor microarchitecture
https://www.goodreads.com/book/show/1015870.A_Visual_Dictionary_of_Architecture
https://www.goodreads.com/book/show/10277918-mamluk-history-through-architecture
https://www.goodreads.com/book/show/10524362-new-traditional-architecture
https://www.goodreads.com/book/show/1247908.Existence_Space_Architecture
https://www.goodreads.com/book/show/12491026-the-art-architecture-complex
https://www.goodreads.com/book/show/125381.Computer_Architecture
https://www.goodreads.com/book/show/12900813-mughal-architecture-gardens
https://www.goodreads.com/book/show/13512470-architectures-of-possibility
https://www.goodreads.com/book/show/1465702.The_Architecture_Of_Eden
https://www.goodreads.com/book/show/14995125-anti-architecture-and-deconstruction
https://www.goodreads.com/book/show/15383935-enterprise-architectures-systems-requirements
https://www.goodreads.com/book/show/15585.The_Look_of_Architecture
https://www.goodreads.com/book/show/1559179.Chicago_Architecture
https://www.goodreads.com/book/show/1586368.Architecture_Of_Knowledge
https://www.goodreads.com/book/show/16667836-contagious-architecture
https://www.goodreads.com/book/show/16718934-an-archaeology-of-architecture
https://www.goodreads.com/book/show/16796238-model-driven-architecture-and-ontology-development
https://www.goodreads.com/book/show/1718148.Landscapes_and_Architectures
https://www.goodreads.com/book/show/1718148.Landscapes_and_Architectures__Poems
https://www.goodreads.com/book/show/17332253-how-architecture-works
https://www.goodreads.com/book/show/1739999.Domain_Architectures
https://www.goodreads.com/book/show/1756947.Chicago_Architecture
https://www.goodreads.com/book/show/18043011-clean-architecture
https://www.goodreads.com/book/show/18112149-medieval-church-architecture
https://www.goodreads.com/book/show/18339967-the-architecture-of-diplomacy
https://www.goodreads.com/book/show/18972563-forty-ways-to-think-about-architecture
https://www.goodreads.com/book/show/19296588-architecture-and-patterns-for-it-service-management-resource-planning
https://www.goodreads.com/book/show/20818036-expert-oracle-database-architecture
https://www.goodreads.com/book/show/20941296-resource-oriented-architecture-patterns-for-webs-of-data
https://www.goodreads.com/book/show/21005876-expert-oracle-database-architecture
https://www.goodreads.com/book/show/21434663-religion-and-architecture-in-premodern-indonesia
https://www.goodreads.com/book/show/21452544-manifesto-architecture
https://www.goodreads.com/book/show/21480348-power-memory-architecture
https://www.goodreads.com/book/show/2157035.The_Grove_Encyclopedia_of_Classical_Art_Architecture
https://www.goodreads.com/book/show/2163252.The_Architecture_of_Molecules
https://www.goodreads.com/book/show/2169145.Cryptographic_Security_Architecture
https://www.goodreads.com/book/show/223929.Thinking_Architecture
https://www.goodreads.com/book/show/223938.Architecture_for_Dummies
https://www.goodreads.com/book/show/224067.What_Is_Japanese_Architecture_
https://www.goodreads.com/book/show/224130.Information_Architecture
https://www.goodreads.com/book/show/22733971-software-architecture-fundamentals-part-1
https://www.goodreads.com/book/show/22760479-adaptable-architecture
https://www.goodreads.com/book/show/22760480-adaptable-architecture
https://www.goodreads.com/book/show/2278919.An_Architecture
https://www.goodreads.com/book/show/2325420.Architecture_and_the_Sites_of_History
https://www.goodreads.com/book/show/23418.The_Architecture_of_Happiness
https://www.goodreads.com/book/show/2461918.Modern_Architecture
https://www.goodreads.com/book/show/24728438-the-architecture-of-narrative
https://www.goodreads.com/book/show/2497991.The_Architecture_of_Loss
https://www.goodreads.com/book/show/25500054-book-architecture
https://www.goodreads.com/book/show/25866933-the-clean-architecture-in-php
https://www.goodreads.com/book/show/2594551-great-pueblo-architecture-of-chaco-canyon-new-mexico
https://www.goodreads.com/book/show/26594575-information-architecture
https://www.goodreads.com/book/show/26795538-architecture-of-science
https://www.goodreads.com/book/show/27819836-black-architecture
https://www.goodreads.com/book/show/29140203-western-architecture-through-persian-travel-diaries
https://www.goodreads.com/book/show/30292329-the-architecture-of-love
https://www.goodreads.com/book/show/3040501-a-world-history-of-architecture
https://www.goodreads.com/book/show/310098.Architecture_of_the_Islamic_World
https://www.goodreads.com/book/show/31951381-borderwall-as-architecture
https://www.goodreads.com/book/show/3197292-the-future-of-architecture
https://www.goodreads.com/book/show/32680808-music-sound-and-architecture-in-islam
https://www.goodreads.com/book/show/32680809-music-sound-and-architecture-in-islam
https://www.goodreads.com/book/show/33129212-scala-the-new-web-architecture
https://www.goodreads.com/book/show/34449027-the-recovery-of-natural-environments-in-architecture
https://www.goodreads.com/book/show/34540968-architecture-in-perspective-15-catalogue
https://www.goodreads.com/book/show/34788885-occidentalist-perceptions-of-european-architecture-in-nineteenth-century
https://www.goodreads.com/book/show/34788886-occidentalist-perceptions-of-european-architecture-in-nineteenth-century
https://www.goodreads.com/book/show/34788887-occidentalist-perceptions-of-european-architecture-in-nineteenth-century
https://www.goodreads.com/book/show/35063680-architecture-and-patterns-for-it-service-management-resource-planning
https://www.goodreads.com/book/show/35755822-building-evolutionary-architectures
https://www.goodreads.com/book/show/3672858-contemporary-world-architecture
https://www.goodreads.com/book/show/373545.Architecture_as_Metaphor
https://www.goodreads.com/book/show/38101586-app-architecture
https://www.goodreads.com/book/show/381303.Animal_Architecture
https://www.goodreads.com/book/show/38241738-modern-architecture-and-religious-communities-1850-1970
https://www.goodreads.com/book/show/39340465-shadow-architecture-at-the-crossroads-annual-19x
https://www.goodreads.com/book/show/398433.Renaissance_Art_Architecture
https://www.goodreads.com/book/show/3984467-architecture-of-the-off-modern
https://www.goodreads.com/book/show/398622.The_Architecture_of_Image
https://www.goodreads.com/book/show/39984389-mamluk-history-through-architecture
https://www.goodreads.com/book/show/4003065-the-architecture-of-concurrent-programs
https://www.goodreads.com/book/show/40223829-drawing-architecture
https://www.goodreads.com/book/show/4069678-the-stanzaic-architecture-of-early-greek-elegy
https://www.goodreads.com/book/show/418520.Meaning_in_Western_Architecture
https://www.goodreads.com/book/show/418523.Architecture
https://www.goodreads.com/book/show/42366036-vertically-integrated-architectures
https://www.goodreads.com/book/show/43179065-perhaps-it-is-high-time-for-a-xeno-architecture-to-match
https://www.goodreads.com/book/show/43669573-minecraft-city-architecture-guide
https://www.goodreads.com/book/show/43907563-information-architecture
https://www.goodreads.com/book/show/44144493-fundamentals-of-software-architecture
https://www.goodreads.com/book/show/4421754-new-international-financial-architecture
https://www.goodreads.com/book/show/4488275-angels-in-the-architecture
https://www.goodreads.com/book/show/4611146-art-and-architecture-of-uttaranchal
https://www.goodreads.com/book/show/4994419-assembly-language-and-architecture-for-the-mc68000
https://www.goodreads.com/book/show/5057173-the-art-and-architecture-of-turkey
https://www.goodreads.com/book/show/510244.Modern_Architecture_in_Czechoslavia_and_Other_Writings
https://www.goodreads.com/book/show/5437686-the-culture-of-landscape-architecture
https://www.goodreads.com/book/show/563784.The_Architecture_of_the_Arkansas_Ozarks
https://www.goodreads.com/book/show/604861.The_Architecture_of_Address
https://www.goodreads.com/book/show/644104.The_Architecture_of_Matter
https://www.goodreads.com/book/show/6966233-why-architecture-matters
https://www.goodreads.com/book/show/70132.Information_Architecture_for_the_World_Wide_Web
https://www.goodreads.com/book/show/70133.Architecture
https://www.goodreads.com/book/show/70134.Towards_a_New_Architecture
https://www.goodreads.com/book/show/70138.A_Global_History_of_Architecture
https://www.goodreads.com/book/show/70140.Modern_Architecture
https://www.goodreads.com/book/show/70153.The_Phaidon_Atlas_of_Contemporary_World_Architecture
https://www.goodreads.com/book/show/70156.Patterns_of_Enterprise_Application_Architecture
https://www.goodreads.com/book/show/724250.Gothic_Architecture_and_Scholasticism
https://www.goodreads.com/book/show/726469.The_Architecture_of_Language
https://www.goodreads.com/book/show/7305312-christopher-alexander-and-contemporary-architecture
https://www.goodreads.com/book/show/743628.Architecture_Projects_Drawings
https://www.goodreads.com/book/show/745458.Why_Architecture_Matters
https://www.goodreads.com/book/show/7551175-architecture-and-democracy
https://www.goodreads.com/book/show/7684445-expert-oracle-database-architecture
https://www.goodreads.com/book/show/769638.The_Seven_Lamps_of_Architecture
https://www.goodreads.com/book/show/784340.Hindu_Art_and_Architecture
https://www.goodreads.com/book/show/79575.Labour_Work_and_Architecture
https://www.goodreads.com/book/show/7990117-form-code-in-design-art-and-architecture
https://www.goodreads.com/book/show/818261.Safavid_Art_and_Architecture
https://www.goodreads.com/book/show/85049.Architecture_and_Patterns_for_It_Service_Management_Resource_Planning_and_Governance
https://www.goodreads.com/book/show/886882.History_of_World_Architecture_Baroque_Architecture
https://www.goodreads.com/book/show/886883.Intentions_in_Architecture
https://www.goodreads.com/book/show/886905.Principles_Of_Modern_Architecture
https://www.goodreads.com/book/show/89967.Engineering_a_New_Architecture
https://www.goodreads.com/book/show/9374430-living-architecture
https://www.goodreads.com/book/show/9623944-information-architecture-for-the-world-wide-web
https://www.goodreads.com/book/show/974895.Model_Driven_Architecture_and_Ontology_Development
https://www.goodreads.com/author/show/522690.Chicago_Architecture_Foundation
https://familypedia.wikia.org/wiki/Category:1000s_architecture
https://religion.wikia.org/wiki/Athens#Architecture
https://religion.wikia.org/wiki/Category:1000s_architecture
https://religion.wikia.org/wiki/Category:1010s_architecture
https://religion.wikia.org/wiki/Category:Church_architecture
https://religion.wikia.org/wiki/Category:Gothic_architecture
https://religion.wikia.org/wiki/Category:Romanesque_architecture
https://religion.wikia.org/wiki/Church_architecture
https://religion.wikia.org/wiki/Eastern_Orthodox_church_architecture
https://religion.wikia.org/wiki/Gongbei_(Islamic_architecture)
https://religion.wikia.org/wiki/Herodian_architecture
https://religion.wikia.org/wiki/List_of_Buddhist_Architecture_in_China
https://religion.wikia.org/wiki/Swayambhunath#Architecture
Exploring the Architecture of Happiness
selforum - architecture of language
selforum - architecture students should ultimately
dedroidify.blogspot - riddles-in-stone-secret-architecture-of
wiki.auroville - Architecture_in_Auroville
Dharmapedia - Hindu_temple_architecture
Dharmapedia - The_Architecture_of_Knowledge
Psychology Wiki - Architecture
Psychology Wiki - Choice_architecture
Stanford Encyclopedia of Philosophy - architecture
https://tvtropes.org/pmwiki/pmwiki.php/GermansLoveDavidHasselhoff/Architecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/AlphabetArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/ArchitectureTropes
https://tvtropes.org/pmwiki/pmwiki.php/Main/BenevolentArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/Bizarchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/ChaosArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/ForebodingArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/HumanArchitectureHorror
https://tvtropes.org/pmwiki/pmwiki.php/Main/MalevolentArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Main/MyopicArchitecture
https://tvtropes.org/pmwiki/pmwiki.php/Music/ArchitectureInHelsinki
https://tvtropes.org/pmwiki/pmwiki.php/UsefulNotes/JapaneseArchitecture
https://en.wikiquote.org/wiki/Architecture
https://en.wikiquote.org/wiki/Category:Architecture
https://en.wikiquote.org/wiki/Enterprise_Architecture
https://en.wikiquote.org/wiki/Enterprise_architecture
https://en.wikiquote.org/wiki/Software_architecture
Violinist of Hameln (1996 - 1997) - The anime has a darker tone, whereas the manga, at least initially, tends toward a lighter, more comedic tone. No official English translations exist to date for the manga or its adaptations.The setting resembles a medieval Europe judging by the architecture, the way people are dressed, and the loca...
Two Guys, a Girl and a Pizza Place (1998 - 2001) - Centering around two guys and a girl who have been best friends since college - Pete Dunville, the neurotic architecture student, Michael "Berg" Bergen, an aimless grad student and Sharon Carter, a tough career-woman with a soft center. Living in the same apartment block in Boston, the three friends...
Death Wish 3(1985) - Michael Winner ups the ante once again in Death Wish 3. Any pretense of Paul Kersey (Charles Bronson) having a career in architecture is completely gone. Kersey's new career appears to be as a professional vigilante, blowing away muggers, rapists and thieves off the mean streets or as he terms it...
https://myanimelist.net/anime/29325/Koukaku_Kidoutai_Arise__Alternative_Architecture -- Sci-Fi, Police, Psychological, Mecha
Ghost in the Shell Arise: Alternative Architecture ::: Connections -- 4h 35min | Animation, Action, Drama | TV Series (2015) Episode Guide 10 episodes Ghost in the Shell Arise: Alternative Architecture Poster A recompilation of the 4 original Ghost in the Shell: Arise movies plus 2 episodes that tie in the story with Ghost in the Shell: The New Movie (2015). Stars: Ikkyu Juku, Ken'ichir Matsuda, Maaya Sakamoto
https://architecture.fandom.com
https://architecture.fandom.com/
https://althistory.fandom.com/wiki/Hitler's_Architecture
https://aoc.fandom.com/wiki/Architecture
https://aoc.fandom.com/wiki/Architecture_Recipes
https://architecture.fandom.com/wiki/
https://architecture.fandom.com/wiki/A_City_is_Not_a_Tree
https://architecture.fandom.com/wiki/Alejandro_Zaera_Polo
https://architecture.fandom.com/wiki/Architects
https://architecture.fandom.com/wiki/Baker_House
https://architecture.fandom.com/wiki/Blog:Recent_posts
https://architecture.fandom.com/wiki/Emerging_architects
https://architecture.fandom.com/wiki/Famous_architects
https://architecture.fandom.com/wiki/Foreign_Office_Architects
https://architecture.fandom.com/wiki/Harvard_University
https://architecture.fandom.com/wiki/Herzog_&_de_Meuron
https://architecture.fandom.com/wiki/Le_Corbusier
https://architecture.fandom.com/wiki/Local_Sitemap
https://architecture.fandom.com/wiki/Ma0
https://architecture.fandom.com/wiki/Main_Page
https://architecture.fandom.com/wiki/Massachusetts_Institute_of_Technology
https://architecture.fandom.com/wiki/Michael_Speaks
https://architecture.fandom.com/wiki/MVRDV
https://architecture.fandom.com/wiki/National_Gymnasium_for_Tokyo_Olympics
https://architecture.fandom.com/wiki/NOX
https://architecture.fandom.com/wiki/Office_for_Metropolitan_Architecture
https://architecture.fandom.com/wiki/ONL
https://architecture.fandom.com/wiki/Pritzker_Architecture_Prize
https://architecture.fandom.com/wiki/Rem_Koolhaas
https://architecture.fandom.com/wiki/Robert_E._Somol
https://architecture.fandom.com/wiki/Seeds
https://architecture.fandom.com/wiki/Simmons_Hall
https://architecture.fandom.com/wiki/STUDIO_MANGIAROTTI
https://architecture.fandom.com/wiki/Thom_Mayne
https://architecture.fandom.com/wiki/Winy_Maas
https://cm.fandom.com/wiki/SMARTEAM_Architecture
https://elderscrolls.fandom.com/wiki/Guylaine's_Architecture
https://elderscrolls.fandom.com/wiki/Guylaine's_Dwemer_Architecture
https://elderscrolls.fandom.com/wiki/Notes_on_Elven_Architecture
https://elderscrolls.fandom.com/wiki/Tonal_Architecture
https://forgottenrealms.fandom.com/wiki/Architecture
https://ghostintheshell.fandom.com/wiki/Ghost_in_the_Shell:_Arise_-_Alternative_Architecture
https://list.fandom.com/wiki/Architecture
https://list.fandom.com/wiki/Architecture,_Classical
https://list.fandom.com/wiki/Architecture_Classical_Elements_and_Design
https://list.fandom.com/wiki/Architecture,_Far_Eastern
https://list.fandom.com/wiki/Architecture_House_Styles
https://list.fandom.com/wiki/Architecture,_Indian
https://list.fandom.com/wiki/Architecture,_Middle_Eastern
https://list.fandom.com/wiki/Architecture,_Native_American
https://list.fandom.com/wiki/Architecture,_Russian
https://list.fandom.com/wiki/Architecture_Schools_and_Styles
https://list.fandom.com/wiki/Architecture,_Spanish
https://list.fandom.com/wiki/Art_and_Architecture_Styles
https://logos.fandom.com/wiki/Lego_Architecture
https://memory-alpha.fandom.com/wiki/Architecture
https://memory-alpha.fandom.com/wiki/Landscape_architecture
https://memory-alpha.fandom.com/wiki/Temple_(architecture)
https://sqmegapolis.fandom.com/wiki/Paradoxical_Architecture
https://starwars.fandom.com/wiki/Brutalist_(architecture)
https://starwars.fandom.com/wiki/Galactic_Architecture_101_and_the_History_of_Centerpoint_Station
https://starwars.fandom.com/wiki/Mustafarian_architecture
https://starwars.fandom.com/wiki/Ossic_architecture
https://starwars.fandom.com/wiki/Republic_architecture
7 Seeds -- -- Gonzo -- 12 eps -- Manga -- Adventure Drama Mystery Psychological Romance Sci-Fi Shoujo -- 7 Seeds 7 Seeds -- Imagine this: you are living a normal day in your life. Maybe you are out with friends, eating your family's home-cooked meal or spending time with your girlfriend. When you next wake up, you are suddenly thrust into a strange, new world, surrounded by five strangers on a rapidly sinking boat in the middle of a storm. -- -- For Natsu Iwashimizu, this is her new reality. Humanity has perished, and all that remains of the Japanese population are five groups of men and women who were chosen to be sent to the future in hopes of continuing mankind's existence. While every other person chosen has a useful talent such as martial arts, knowledge, or architecture, Natsu is a shy high school girl who cannot even raise her voice to shout. The new world is dangerous beyond imagination, and although Natsu seems to lack helpful skills, she must go with the others making their way to the "Seven Fuji" in order to survive. -- -- ONA - Jun 28, 2019 -- 84,437 6.55
Howl no Ugoku Shiro -- -- Studio Ghibli -- 1 ep -- Novel -- Adventure Drama Fantasy Romance -- Howl no Ugoku Shiro Howl no Ugoku Shiro -- That jumbled piece of architecture, that cacophony of hissing steam and creaking joints, with smoke billowing from it as it moves on its own... That castle is home to the magnificent wizard Howl, infamous for both his magical prowess and for being a womanizer—or so the rumor goes in Sophie Hatter's small town. Sophie, as the plain daughter of a hatmaker, does not expect much from her future and is content with working hard in the shop. -- -- However, Sophie's simple life takes a turn for the exciting when she is ensnared in a disturbing situation, and the mysterious wizard appears to rescue her. Unfortunately, this encounter, brief as it may be, spurs the vain and vengeful Witch of the Waste—in a fit of jealousy caused by a past discord with Howl—to put a curse on the maiden, turning her into an old woman. -- -- In an endeavor to return to normal, Sophie must accompany Howl and a myriad of eccentric companions—ranging from a powerful fire demon to a hopping scarecrow—in his living castle, on a dangerous adventure as a raging war tears their kingdom apart. -- -- -- Licensor: -- Walt Disney Studios -- Movie - Nov 20, 2004 -- 901,461 8.66
Kimi no Na wa. -- -- CoMix Wave Films -- 1 ep -- Original -- Romance Supernatural School Drama -- Kimi no Na wa. Kimi no Na wa. -- Mitsuha Miyamizu, a high school girl, yearns to live the life of a boy in the bustling city of Tokyo—a dream that stands in stark contrast to her present life in the countryside. Meanwhile in the city, Taki Tachibana lives a busy life as a high school student while juggling his part-time job and hopes for a future in architecture. -- -- One day, Mitsuha awakens in a room that is not her own and suddenly finds herself living the dream life in Tokyo—but in Taki's body! Elsewhere, Taki finds himself living Mitsuha's life in the humble countryside. In pursuit of an answer to this strange phenomenon, they begin to search for one another. -- -- Kimi no Na wa. revolves around Mitsuha and Taki's actions, which begin to have a dramatic impact on each other's lives, weaving them into a fabric held together by fate and circumstance. -- -- -- Licensor: -- Funimation, NYAV Post -- Movie - Aug 26, 2016 -- 1,865,222 8.94
Koukaku Kidoutai Arise: Alternative Architecture -- -- Production I.G -- 10 eps -- Manga -- Sci-Fi Police Psychological Mecha -- Koukaku Kidoutai Arise: Alternative Architecture Koukaku Kidoutai Arise: Alternative Architecture -- A TV anime version of Ghost in the Shell: Arise. The first eight episodes are an edited version of the four movies while the last two features a new arc called "Pyrophoric Cult." -- -- The plot involves the "Fire Starter" virus explored previously, and introduces the new character Pyromania. The episode will have ties to the upcoming film. -- -- (Source: Wikipedia) -- -- Licensor: -- Funimation -- 37,585 7.25
https://wiki.archlinux.org/index.php/Advanced_Linux_Sound_Architecture
https://wiki.archlinux.org/index.php/Advanced_Linux_Sound_Architecture/Configuration_examples
https://wiki.archlinux.org/index.php/Advanced_Linux_Sound_Architecture/Troubleshooting
https://wiki.archlinux.org/index.php/Advanced_Linux_Sound_Architecture#Unmuting_the_channels
https://wiki.archlinux.org/index.php/Arch_Packaging_Standards#Architectures
https://wiki.archlinux.org/index.php/Category:ARM_architecture
https://wiki.archlinux.org/index.php/Category_talk:ARM_architecture
https://wiki.archlinux.org/index.php/Frequently_asked_questions#What_architectures_does_Arch_support?
https://wiki.archlinux.org/index.php/Migrating_between_architectures
http://commons.wikimedia.org/wiki/Category:Sydney_Architecture
https://commons.wikimedia.org/wiki/Architecture
https://commons.wikimedia.org/wiki/Art_Nouveau_architecture_in_Turin
https://commons.wikimedia.org/wiki/Category:12th-century_architecture_in_Bremen_(state)
https://commons.wikimedia.org/wiki/Category:15th-century_architecture
https://commons.wikimedia.org/wiki/Category:1680s_architecture_in_Romania
https://commons.wikimedia.org/wiki/Category:18th-century_architecture_in_Berlin
https://commons.wikimedia.org/wiki/Category:2000s_brick_architecture
https://commons.wikimedia.org/wiki/Category:Animations_of_architecture
https://commons.wikimedia.org/wiki/Category:Architecture
https://commons.wikimedia.org/wiki/Category:Architecture_at_dusk
https://commons.wikimedia.org/wiki/Category:Architecture_at_night
https://commons.wikimedia.org/wiki/Category:Architecture_BL
https://commons.wikimedia.org/wiki/Category:Architecture_by_culture
https://commons.wikimedia.org/wiki/Category:Architecture_by_date
https://commons.wikimedia.org/wiki/Category:Architecture_by_location
https://commons.wikimedia.org/wiki/Category:Architecture_by_material
https://commons.wikimedia.org/wiki/Category:Architecture_by_media
https://commons.wikimedia.org/wiki/Category:Architecture_by_medium
https://commons.wikimedia.org/wiki/Category:Architecture_by_style
https://commons.wikimedia.org/wiki/Category:Architecture_by_subject
https://commons.wikimedia.org/wiki/Category:Architecture_in_art
https://commons.wikimedia.org/wiki/Category:Architecture_in_society
https://commons.wikimedia.org/wiki/Category:Architecture_occupations
https://commons.wikimedia.org/wiki/Category:Architecture_of_Denmark
https://commons.wikimedia.org/wiki/Category:Architecture_of_England
https://commons.wikimedia.org/wiki/Category:Architecture_of_Ireland
https://commons.wikimedia.org/wiki/Category:Architecture_writers
https://commons.wikimedia.org/wiki/Category:Art_Nouveau_architecture_in_the_canton_of_Basel-Stadt
https://commons.wikimedia.org/wiki/Category:Educational_architecture
https://commons.wikimedia.org/wiki/Category:Fascist_architecture_in_Rhodes
https://commons.wikimedia.org/wiki/Category:Foundations_(architecture)
https://commons.wikimedia.org/wiki/Category:Gallery_pages_about_architecture
https://commons.wikimedia.org/wiki/Category:Gothic_architecture_in_Lithuania
https://commons.wikimedia.org/wiki/Category:Hindu_architecture
https://commons.wikimedia.org/wiki/Category:History_of_architecture
https://commons.wikimedia.org/wiki/Category:Humor_in_architecture
https://commons.wikimedia.org/wiki/Category:IF-Architecture
https://commons.wikimedia.org/wiki/Category:Logos_associated_with_architecture
https://commons.wikimedia.org/wiki/Category:Maps_showing_the_allocation_of_architecture
https://commons.wikimedia.org/wiki/Category:Media_from_City,_Territory_and_Architecture
https://commons.wikimedia.org/wiki/Category:Neo-Baroque_architecture
https://commons.wikimedia.org/wiki/Category:Octagons_(architecture)
https://commons.wikimedia.org/wiki/Category:Qingdao_Heritage_Architecture
https://commons.wikimedia.org/wiki/Category:Religious_architecture
https://commons.wikimedia.org/wiki/Category:Replicas_of_architecture
https://commons.wikimedia.org/wiki/Category:Space_architecture
https://commons.wikimedia.org/wiki/Category:Spinnakers_in_architecture
https://commons.wikimedia.org/wiki/Category:Swords_in_architecture
https://commons.wikimedia.org/wiki/Category:Symbols_of_architecture
https://commons.wikimedia.org/wiki/Category_talk:Architecture
https://commons.wikimedia.org/wiki/Category:Unusual_architecture
https://commons.wikimedia.org/wiki/Category:Videos_of_architecture
https://commons.wikimedia.org/wiki/Category:WikiProject_Architecture
https://commons.wikimedia.org/wiki/Commons:CommonsProject_Architecture#Classification_help
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Bridges
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Castles_and_fortifications
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Cityscapes
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Exteriors
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Religious_buildings
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Towers
https://commons.wikimedia.org/wiki/Commons:Featured_pictures/Places/Architecture/Transport
https://commons.wikimedia.org/wiki/Commons:Quality_images#Architecture
https://commons.wikimedia.org/wiki/Commons:Quality_images/Subject/Architecture
https://commons.wikimedia.org/wiki/File:Amirreza_peighambarzadeh_iranian_architecture.jpg
https://commons.wikimedia.org/wiki/File:Architecture_at_its_best.jpg
https://commons.wikimedia.org/wiki/File:Architecture_de_la_solution_DIA.png
https://commons.wikimedia.org/wiki/File:Architecture_HDR_(2582654656).jpg
https://commons.wikimedia.org/wiki/File:Architecture_IPLC.png
https://commons.wikimedia.org/wiki/File:Architecture_MPLS.png
https://commons.wikimedia.org/wiki/File:Architecture_Site_Masterplan_Miniature_Scale_Model.jpg
https://commons.wikimedia.org/wiki/File:Architecture_Solution_de_s
https://commons.wikimedia.org/wiki/File:Chinese_architecture.jpg
https://commons.wikimedia.org/wiki/File:Decorative_elements_of_Stalinist_architecture.jpg
https://commons.wikimedia.org/wiki/File:Environmentally_friendly_architecture_at_Marineholmen_in_Bergen,_Norway.jpg
https://commons.wikimedia.org/wiki/File:Geometry_in_architecture.jpg
https://commons.wikimedia.org/wiki/File:Intermission_Architecture,_Anhelina_Livia_Starkova.jpg
https://commons.wikimedia.org/wiki/File:Materials_and_documents_of_architecture_and_sculpture_-_classified_alphabetically_(1915)_(14595963669).jpg
https://commons.wikimedia.org/wiki/File:Modern_architecture.jpg
https://commons.wikimedia.org/wiki/File:Modern_architecture_style_%D0%A1%D0%BE%D0%B2%D1%80%D0%B5%D0%BC%D0%B5%D0%BD%D0%BD%D0%BE%D0%B5_%D0%B7%D0%BE%D0%B4%D1%87%D0%B5%D1%81%D1%82%D0%B2%D0%BE.jpg
https://commons.wikimedia.org/wiki/File:Modern_architecture_style._Windows._%D0%A1%D0%BE%D0%B2%D1%80%D0%B5%D0%BC%D0%B5%D0%BD%D0%BD%D0%BE%D0%B5_%D0%B7%D0%BE%D0%B4%D1%87%D0%B5%D1%81%D1%82%D0%B2%D0%BE._%D0%9E%D0%BA%D0%BD%D0%B0.jpg
https://commons.wikimedia.org/wiki/Special:WhatLinksHere/Category:Architecture
https://commons.wikimedia.org/w/index.php?title=Category:Architecture
https://commons.wikimedia.org/w/index.php?title=Category:Architecture&filefrom=Mangla+Hamlet.jpg#mw-category-media
https://commons.wikimedia.org/w/index.php?title=Category:Architecture&filefrom=Maquete+eletr
https://commons.wikimedia.org/w/index.php?title=Commons:Quality_images/Subject/Architecture/Sample
https://commons.wikimedia.org/w/index.php?title=Special:Book&bookcmd=book_creator&referer=Category:Architecture
https://commons.wikimedia.org/w/index.php?title=Special:CreateAccount&returnto=Category:Architecture
https://commons.wikimedia.org/w/index.php?title=Special:UploadWizard&categories=Architecture
https://commons.wikimedia.org/w/index.php?title=Special:UserLogin&returnto=Category:Architecture
1240s in architecture
1260s in architecture
1400s in architecture
1410s in architecture
1420s in architecture
1430s in architecture
1440s in architecture
1450s in architecture
1460s in architecture
1470s in architecture
1480s in architecture
1490s in architecture
14th century in architecture
1500s in architecture
1510s in architecture
1520s in architecture
1530s in architecture
1540s in architecture
1550s in architecture
1560s in architecture
1570s in architecture
1580s in architecture
1590s in architecture
1600s in architecture
1610s in architecture
1620s in architecture
1630s in architecture
1640s in architecture
1650s in architecture
1660s in architecture
1670s in architecture
1680s in architecture
1690s in architecture
1723 in architecture
17th Venice Architecture Biennale
2nd century in architecture
360 Architecture
4D-RCS Reference Model Architecture
5th century in architecture
6th century in architecture
8th century in architecture
A10 new European architecture
Abacus (architecture)
Acadmie d'architecture
Acadmie royale d'architecture
Academy of Architecture
Academy of Arts, Architecture and Design in Prague
Achaemenid architecture
Adirondack Architecture
Adrian Smith + Gordon Gill Architecture
Advanced Amiga Architecture chipset
Advanced Linux Sound Architecture
Advanced Microcontroller Bus Architecture
Advanced Telecommunications Computing Architecture
African Governance Architecture
Aga Khan Award for Architecture
A History of English Mediaeval Architecture
Aire de mise en valeur de l'architecture et du paysage
Akbari architecture
Alchemy (microarchitecture)
Allied Standards Avionics Architecture Council
AMD Next Generation Microarchitecture
America's Favorite Architecture
American Architecture Awards
American colonial architecture
American Institute of Architecture Students
Amiga Advanced Graphics Architecture
Ampere (microarchitecture)
Amsterdam Centre for Architecture
Ancient Chinese wooden architecture
Ancient Egyptian architecture
Ancient Greek architecture
Ancient Indian architecture
Ancient Roman architecture
Anglo-Saxon architecture
Annulet (architecture)
ANSI-SPARC Architecture
Anta (architecture)
Antebellum architecture
AppleIntel architecture
Application Integration Architecture
Applications architecture
Arcade (architecture)
Arcade (architecture magazine)
Architecture
Architecture's Desire
Architecture 101
Architecture (album)
Architecture & Morality
Architecture Analysis & Design Language
Architecture and Design Scotland
Architecture and Vision
Architecture Brio
Architecture criticism
Architecture description language
Architecture Design and Assessment System
Architecture domain
Architecture-driven modernization
Architecture Film Festival Rotterdam
Architecture for Control Networks
Architecture for Everyone
Architecture for Humanity
Architecture in Helsinki
Architecture in Omaha, Nebraska
Architecture in Texas, 18951945
Architecture (magazine)
Architecture (magazine, 19001936)
Architecture museum
Architecture Neutral Distribution Format
Architecture of Aberdeen
Architecture of Afghanistan
Architecture of Africa
Architecture of Albania
Architecture of ancient Sri Lanka
Architecture of Argentina
Architecture of Atlanta
Architecture of Australia
Architecture of Aylesbury
Architecture of Azerbaijan
Architecture of Baku
Architecture of Bangladesh
Architecture of Barbados
Architecture of Bathurst, New South Wales
Architecture of Belfast
Architecture of Belgrade
Architecture of Bengal
Architecture of Berlin
Architecture of Bermuda
Architecture of Bhutan
Architecture of Birmingham
Architecture of Bolivia
Architecture of Bosnia and Herzegovina
Architecture of Boston
Architecture of Brazil
Architecture of Btrieve
Architecture of Buffalo, New York
Architecture of Canada
Architecture of Cantabria
Architecture of Cardiff
Architecture of cathedrals and great churches
Architecture of Central Asia
Architecture of Cesar Department
Architecture of Chennai
Architecture of Chicago
Architecture of Chile
Architecture of Colombia
Architecture of Copenhagen
Architecture of Costa Rica
Architecture of Croatia
Architecture of Cuba
Architecture of Denmark
Architecture of England
Architecture of Estonia
Architecture of Ethiopia
Architecture of Fez
Architecture of Fiji
Architecture of Finland
Architecture of Fredericksburg, Texas
Architecture of Georgia (country)
Architecture of Germany
Architecture of Glasgow
Architecture of Goan Catholics
Architecture of Hong Kong
Architecture of Houston
Architecture of Iceland
Architecture of India
Architecture of Indonesia
Architecture of Integrated Information Systems
Architecture of Ireland
Architecture of Israel
Architecture of Istanbul
Architecture of Italy
Architecture of Jacksonville
Architecture of Jiangxi
Architecture of Jordan
Architecture of Kansas City
Architecture of Karnataka
Architecture of Kerala
Architecture of Kievan Rus'
Architecture of Kosovo
Architecture of Kuala Lumpur
Architecture of Kuwait
Architecture of Lahore
Architecture of Las Vegas
Architecture of Lebanon
Architecture of Leeds
Architecture of Letterkenny
Architecture of Lhasa
Architecture of Limerick
Architecture of Liverpool
Architecture of London
Architecture of Lucknow
Architecture of Luxembourg
Architecture of Macau
Architecture of macOS
Architecture of Manchester
Architecture of Mangalorean Catholics
Architecture of Mesopotamia
Architecture of metropolitan Detroit
Architecture of Mexico
Architecture of Monaco
Architecture of Mongolia
Architecture of Montenegro
Architecture of Montreal
Architecture of Munich
Architecture of Nepal
Architecture of New York City
Architecture of New Zealand
Architecture of Normandy
Architecture of North Macedonia
Architecture of Norway
Architecture of Ottawa
Architecture of Palestine
Architecture of Paris
Architecture of Peja
Architecture of Penang
Architecture of Peru
Architecture of Philadelphia
Architecture of Poland
Architecture of Portland, Oregon
Architecture of Pvoa de Varzim
Architecture of Provence
Architecture of Quebec
Architecture of Quebec City
Architecture of Rajasthan
Architecture of Samoa
Architecture of San Francisco
Architecture of Saudi Arabia
Architecture of Scotland
Architecture of Scotland in the Middle Ages
Architecture of Scotland in the Prehistoric era
Architecture of Seattle
Architecture of Serbia
Architecture of Singapore
Architecture of South Korea
Architecture of Sri Lanka
Architecture of Star Wars
Architecture of St. John's, Newfoundland and Labrador
Architecture of St. Louis
Architecture of Stockholm
Architecture of Sumatra
Architecture of Sweden
Architecture of Sydney
Architecture of Taiwan
Architecture of Tamil Nadu
Architecture of Tehran
Architecture of Texas
Architecture of Thailand
Architecture of the Bulgarian Revival
Architecture of the California missions
Architecture of the CucuteniTrypillia culture
Architecture of the London Borough of Croydon
Architecture of the medieval cathedrals of England
Architecture of the Netherlands
Architecture of the night
Architecture of the Paris Mtro
Architecture of the Philippines
Architecture of the Song dynasty
Architecture of the United Arab Emirates
Architecture of the United Kingdom
Architecture of Tibet
Architecture of Tokyo
Architecture of Toronto
Architecture of Turkey
Architecture of Upper Canada College
Architecture of Vatican City
Architecture of Windows 9x
Architecture of Windows NT
Architecture of Zimbabwe
Architectures of Possibility
Architecture studio
Architecture tradeoff analysis method
ArchitectureWeek
Area (architecture)
ARM architecture
ARM Architecture (company)
Armenian architecture
ARM System-on-Chip Architecture
Art: A History of Painting, Sculpture, and Architecture
Art & Architecture Thesaurus
Art and architecture integration policy
Art and Architecture Journal
Art Deco architecture of New York City
Art, Design & Architecture Museum
Art Nouveau architecture in Russia
Arts & Architecture
Assam-type architecture
Association for Computer Aided Design In Architecture
Association for Women in Architecture and Design
Asturian architecture
Asymptote Architecture
Atlas (architecture)
Attic (architecture)
AutoCAD Architecture
Autonomous robot architecture
Avils International Cinema and Architecture Festival
AX architecture
Azerbaijan University of Architecture and Construction
Bachelor of Architecture
Badami Chalukya architecture
Baita (architecture)
Balinese architecture
Banjarese architecture
Banking Industry Architecture Network
Baroque architecture
Baroque architecture in Portugal
Baroque Revival architecture
Batak architecture
Bay (architecture)
BDP Quadrangle (architecture firm)
Beaux-Arts architecture
Belfry (architecture)
Bibliography of encyclopedias: architecture and architects
Biologically inspired cognitive architectures
Bit-serial architecture
BKL Architecture
Black-and-white Revival architecture
Blank Studio Architecture
Bobcat (microarchitecture)
Bonetti/Kozerski Architecture
Bonnell (microarchitecture)
Book:Architecture & Art in Columbus, Indiana
Bosnian style in architecture
Boss (architecture)
Bracket (architecture)
Brand architecture
Brief (architecture)
Brutalist architecture
Buildings and architecture of Bristol
Buildings and architecture of New Orleans
Bulldozer (microarchitecture)
Business architecture
Business-oriented architecture
Byzantine architecture
Byzantine Revival architecture
Cache-only memory architecture
Caisson (Asian architecture)
Cal Poly College of Architecture and Environmental Design
Cal Poly San Luis Obispo College of Architecture and Environmental Design
Canadian Centre for Architecture
Cannon Lake (microarchitecture)
Cant (architecture)
Cape Dutch architecture
Capital (architecture)
Carolingian architecture
Cascade Lake (microarchitecture)
Cast-iron architecture
Category:East German architecture
Category:Wikipedia requested photographs of architecture
Census of Antique Works of Art and Architecture Known in the Renaissance
Center for Architecture
Center for Architecture and Design
Center of Contemporary Architecture
Chahartaq (architecture)
Chandigarh College of Architecture
Chicago Architecture Biennial
Chicago Architecture Center
Chilotan architecture
Chinese architecture
Chinese temple architecture
Choga (architecture)
Choir (architecture)
Chola art and architecture
Church architecture
Church architecture in England
Church architecture in Scotland
Ciborium (architecture)
Circulation (architecture)
Cistercian architecture
Cit de l'Architecture et du Patrimoine
CLARION (cognitive architecture)
Classical architecture
Clipper architecture
Cobblestone architecture
Cognitive architecture
Collaboration-oriented architecture
College of Architecture and Planning
Colonial architecture
Colonial architecture in Jakarta
Colonial architecture in Padang
Colonial architecture in Surabaya
Colonial architecture of Indonesia
Colonial architecture of Makassar
Colonial architecture of Southeast Asia
Colonial Revival architecture
Commission for Architecture and the Built Environment
Common Object Request Broker Architecture
Compact Modular Architecture platform
Comparison of cognitive architectures
Comparison of instruction set architectures
Compass (architecture)
Component-based Scalable Logical Architecture
Computer architecture
Computer architecture simulator
Congrs Internationaux d'Architecture Moderne
Constructivist architecture
Contemporary architecture in Cluj-Napoca
Cooper Lake (microarchitecture)
Coping (architecture)
Copper in architecture
Coptic architecture
Core architecture data model
Cornell University College of Architecture, Art, and Planning
Corporate architecture
Cotswold architecture
Course (architecture)
Croatian pre-Romanesque art and architecture
Crossing (architecture)
Curtain wall (architecture)
Cytoarchitecture
Czech architecture
Czech Baroque architecture
Czech Gothic architecture
Czech Renaissance architecture
Dado (architecture)
Daihatsu New Global Architecture
Da Nang University of Architecture
Dan Cruickshank's Adventures in Architecture
Darwin Information Typing Architecture
Data architecture
Database-centric architecture
Data center network architectures
Dataflow architecture
Data Path Acceleration Architecture
Decolonizing Architecture Art Residency
Defensive architecture
Department of Architecture
Department of Architecture, University of Cambridge
Department of Defense Architecture Framework
Department of Landscape Architecture, University of Illinois at UrbanaChampaign
Desktop and mobile Architecture for System Hardware
Dessau Institute of Architecture
Digital architecture
Dignity College of Architecture
Distributed architecture for mobile navigation
Distributed Data Management Architecture
Distributed System Security Architecture
Divan (Mughal architecture)
D (architecture)
Doctor of Architecture
Document Content Architecture
Donbas National Academy of Civil Engineering and Architecture
Draft:Global Names Architecture
Dravidian architecture
Driehaus Architecture Prize
DUAL (cognitive architecture)
Dutch architecture in Semarang
Dutch Baroque architecture
Dutch Colonial architecture (New Netherland)
Dutch Colonial Revival architecture
Dzong architecture
Early Christian art and architecture
Early Gothic architecture
Eastern Orthodox church architecture
Eclecticism in architecture
cole d'Architecture Marne-la-Valle
cole Nationale Suprieure d'Architecture de Paris-Belleville
cole nationale suprieure d'architecture de Paris-La Villette
cole nationale suprieure d'architecture de Toulouse
cole nationale suprieure d'architecture de Versailles
cole Spciale d'Architecture
Educational architecture
Edwardian architecture
Egyptian Revival architecture
Egyptian Revival architecture in the British Isles
Elizabethan architecture
Encyclopedia of Vernacular Architecture of the World
Enfilade (architecture)
English Gothic architecture
Enterprise architecture
Enterprise architecture artifacts
Enterprise Architecture Body of Knowledge
Enterprise architecture framework
Enterprise architecture management
Enterprise architecture planning
Enterprise information security architecture
Ethiopian Institute of Architecture, Building Construction and City Development
ETH Zurich Faculty of Architecture
Etruscan architecture
European Association for Architectural Education / Association Europenne pour l'Enseignement de l'Architecture
European Foundation for Landscape Architecture
European medieval architecture in North America
European Union Prize for Contemporary Architecture
Event-driven architecture
Excavator (microarchitecture)
Executable architecture
Experience architecture
Experimental architecture
Expressionist architecture
Extended Industry Standard Architecture
Faculty of Architecture and Arts at the Lusada University of Porto
Faculty of Architecture and Planning, Dr. A.P.J. Abdul Kalam Technical University
Faculty of Architecture and Urbanism, University of So Paulo
Faculty of Architecture, Ljubljana
Faculty of Architecture Pozna University of Technology
Faculty of Architecture, Urban Design and Creative Arts, Mahasarakham University
Faculty of Architecture, Urbanism and Arts
Far Eastern University Institute of Architecture and Fine Arts
Fascia (architecture)
Fashion Architecture Taste
Federal architecture
Federal enterprise architecture
Federated architecture
Federation architecture
Federation of Enterprise Architecture Professional Organizations
Feminism and modern architecture
Fermi (microarchitecture)
Ferro (architecture)
Fina (architecture)
Fixed-block architecture
Flemish architecture
Fleuron (architecture)
Fluting (architecture)
French architecture
French Baroque architecture
French Gothic architecture
French Renaissance architecture
French Romanesque architecture
Functionalism (architecture)
Functional software architecture
Future Imagery Architecture
Futurist architecture
Gallery (architecture)
Garrison (architecture)
General Architecture for Text Engineering
Generalised Enterprise Reference Architecture and Methodology
Genome architecture mapping
Gentrified architecture
Georgian architecture
German Architecture Museum
Gingerbread (architecture)
Giyf architecture
Global Information Network Architecture
Glossary of architecture
Gold Medal for Italian Architecture
Googie architecture
Gothic architecture
Gothic architecture in Lithuania
Gothic architecture in modern Poland
Gothic Revival architecture
Gothic Revival architecture in Canada
Grand prix national de l'architecture
Greek Revival architecture
Greenstein Har-Gil, Landscape Architecture
Grotesque (architecture)
Harappan architecture
Hardware architecture
Hasht-behesht (architecture)
Hassell (architecture firm)
Haswell (microarchitecture)
Hausa architecture
Hawaiian architecture
Hazard (computer architecture)
Health Informatics Service Architecture
Hebei University of Architecture
Hemadpanti architecture
Herodian architecture
Heterogeneous System Architecture
Hexagonal architecture (software)
High-availability application architecture
High Level Architecture
High-level language computer architecture
High-tech architecture
Hindu temple architecture
Hines College of Architecture
History of architecture
History of landscape architecture
Ho Chi Minh City University of Architecture
Hokkien architecture
Hostile architecture
Howard Davis (professor of architecture)
Hoysala architecture
Hui Style architecture
Iberian pre-Romanesque art and architecture
IBM POWER instruction set architecture
IBM Scalable Architecture for Financial Reporting
IBM System/360 architecture
IBM Systems Application Architecture
Impost (architecture)
Inca architecture
INC Architecture and Design
Indented corners (Thai architecture)
Independent Computing Architecture
Indian rock-cut architecture
Indian vernacular architecture
Indigenous architecture
Indo-Islamic architecture
Indo-Saracenic architecture
Industrial architecture
Industry Standard Architecture
Information architecture
Information technology architecture
Institute for Architecture and Urban Studies
Institute for the History and Theory of Architecture
Instruction set architecture
Intel Architecture Labs
Intel Core (microarchitecture)
Intel Hub Architecture
Interactive architecture
Interior architecture
International Academy of Architecture
International Architecture Biennale in So Paulo
International Architecture Biennale Rotterdam
International Archive of Women in Architecture
International Biennale of Architecture in Krakw
International Style (architecture)
International Symposium on Computer Architecture
Internet Architecture Board
Interrupt request (PC architecture)
Interstitial space (architecture)
Ion Mincu University of Architecture and Urban Planning
Iranian architecture
Islamic architecture
Israel Architecture Archive
Italianate architecture
Italian Gothic architecture
IT operations architecture
Ivy Bridge (microarchitecture)
Jacobean architecture
Jaguar (microarchitecture)
Japanese architecture
Japanese Architecture and Art Net Users System
Japanese Buddhist architecture
Japanese-Western Eclectic Architecture
Java Platform Debugger Architecture
Jawaharlal Nehru Architecture and Fine Arts University
Jinhua Architecture Park
John Elder Professor of Naval Architecture and Ocean Engineering
John H. Daniels Faculty of Architecture, Landscape and Design
Johnson Architecture
JSP model 1 architecture
JSP model 2 architecture
Kalinga architecture
Kath kuni architecture
Kepler (microarchitecture)
Kerala Engineering Architecture Medical
Keystone (architecture)
Khmer architecture
Kinetic architecture
Kokoshnik architecture
Koning Eizenberg Architecture
Korean architecture
Kulliyyah of Architecture and Environmental Design
Kyiv National University of Construction and Architecture
L'Architecture Vivante
Laboratory for Analysis and Architecture of Systems
Lanai (architecture)
Landscape architecture
Lang Wilson Practice in Architecture Culture
Larrabee (microarchitecture)
Latency oriented processor architecture
Latina (architecture)
Le Corbusier's Five Points of Architecture
Lego Architecture
Library Oriented Architecture
Lingnan architecture
LISA (Language for Instruction Set Architecture)
List of AMD CPU microarchitectures
List of architecture awards
List of architecture firms
List of ARM microarchitectures
List of Baroque architecture
List of Buddhist architecture in China
List of Gothic Revival architecture
List of Intel CPU microarchitectures
List of Linux-supported computer architectures
List of MIPS architecture processors
List of nationally significant 20th-century architecture in South Australia
List of Norman architecture in Cheshire
List of partitions of traditional Japanese architecture
List of regional characteristics of European cathedral architecture
List of World's Fair architecture
List of World Architecture Festival winners
Loadstore architecture
d Wooden Architecture Skansen
Lookout (architecture)
Machine Check Architecture
Maharishi Vastu Architecture
Maltese Baroque architecture
MANIC (cognitive architecture)
Marchitecture
Marine architecture
Mru-Gurjara architecture
Master of Architecture
Mathematics and architecture
Maxwell (microarchitecture)
Maya architecture
Mayan Revival architecture
MBM (architecture firm)
Mechanically interlocked molecular architectures
Medallion (architecture)
Media gateway control protocol architecture
Medieval architecture
Medieval Scandinavian architecture
Medieval Serbian architecture
Mediterranean Revival architecture
Merovingian art and architecture
Metabolism (architecture)
Metaphoric architecture
Metropolis (architecture magazine)
Miami Modern architecture
MIBE architecture
Michael Green Architecture
Microarchitecture
Microarchitecture simulation
Micro Channel architecture
Middle Eastern architecture
Mill architecture
MIPS architecture
MIPS architecture processors
Mission Revival architecture
MMS Architecture
Mobile architecture
Model-driven architecture
Modern architecture
Modern architecture in Athens
Modified Harvard architecture
Mole (architecture)
Mon (architecture)
Monash University Faculty of Art Design & Architecture
Monitor (architecture)
Monterey Colonial architecture
Moorish architecture
Moorish Revival architecture
Moroccan architecture
Morphogenesis (architecture firm)
Morphology (architecture and engineering)
Mozarabic art and architecture
MTS system architecture
Mudjar architecture of Aragon
Mughal architecture
Multi-channel memory architecture
Multiple-channel architecture
Multiprocessor system architecture
Multithreading (computer architecture)
Multitier architecture
Museum architecture
Museum of Architecture
Museum of Architecture, Wrocaw
Museum of Bath Architecture
Museum of Domestic Design and Architecture
Museum of Estonian Architecture
Museum of Folk Architecture, Sanok
Myanmar architecture
Mycenaean Revival architecture
Nabataean architecture
Nagaya (architecture)
Nanoarchitectures for lithium-ion batteries
National Academy of Visual Arts and Architecture
National Architecture Award of Spain
National Association of Students of Architecture
National Museum of Art, Architecture and Design
National University of Architecture and Construction of Armenia
Naval architecture
Nehalem (microarchitecture)
Neo-Byzantine architecture in the Russian Empire
Neoclassical architecture
Neoclassical architecture in Belgium
Neoclassical architecture in Milan
Neoclassical architecture in Poland
Neo-eclectic architecture
NetBurst (microarchitecture)
Netherlands Architecture Institute
Network architecture
Network Computing Architecture
Network on Terminal Architecture
Neural architecture search
Newa architecture
New Classical architecture
New Formalism (architecture)
New London Architecture
New Objectivity (architecture)
Nilachal architecture
NIST Enterprise Architecture Model
Node graph architecture
Non-RAID drive architectures
Nordic megalith architecture
Nordic Office of Architecture
Norman architecture
North light (architecture)
Norwegian Design and Architecture Centre
Novelty architecture
Nubian architecture
Nuremberg Architecture Prize
baku Zen architecture
Office for Metropolitan Architecture
Okinawan architecture
OMD Live: Architecture & Morality & More
OMG Business Architecture Special Interest Group
OPC Unified Architecture
Open architecture
Open Architecture Computing Environment
Open Architecture Network
Open-Architecture-System
Open Architecture System Integration Strategy
Open Control Architecture
Open Document Architecture
OpenGL Architecture Review Board
Open Grid Services Architecture
Open Platform Management Architecture
Open-source architecture
Oppenheim Architecture
Organic architecture
Organizational architecture
Origamic architecture
Origins and architecture of the Taj Mahal
Ottoman architecture
Outline of architecture
Outline of classical architecture
P5 (microarchitecture)
P6 (microarchitecture)
Pakistani architecture
Palazzo style architecture
Palladian architecture
Pallava art and architecture
Pandemonium architecture
Paned window (architecture)
Paraguayan architecture
Paris architecture of the Belle poque
Pascal (microarchitecture)
Pattern (architecture)
Pavement (architecture)
PC Open Architecture Developers' Group
Penryn (microarchitecture)
Performative architecture
Peruvian colonial architecture
Peter Tolkin Architecture
Phenomenology (architecture)
Philosophy of architecture
Pier (architecture)
Platform Architecture and Design
PLP Architecture
Pointed arch (architecture)
Polite architecture
Polymer architecture
Portal:Architecture
Portal:Architecture/Did you know
Portal:Architecture/images
Portal:Architecture/News
Portal:Architecture/Selected article candidates
Portal:Architecture/Selected picture candidates
Portal:India/SC Summary/SA Hoysala architecture
Portuguese Architecture
Portuguese colonial architecture
Portuguese Gothic architecture
Portuguese Romanesque architecture
Postmodern architecture
Poupou (architecture)
Prang (architecture)
Prasat (Thai architecture)
Predication (computer architecture)
Pre-Romanesque art and architecture
Pre-war architecture
Pritzker Architecture Prize
Process architecture
Processarchitectureoptimization model
Processor architecture
Professional Regulatory Board of Architecture
Progressive Architecture Award
Pueblo Deco architecture
Pueblo Revival architecture
Pullman (architecture)
Puma (microarchitecture)
Purism (Spanish architecture)
Pylon (architecture)
Quadrangle (architecture)
Quadrant (architecture)
Queen Anne Revival architecture
Queen Anne style architecture
Queenslander (architecture)
Queensland Government Enterprise Architecture
Rationalism (architecture)
RDNA (microarchitecture)
Reconstruction (architecture)
Recursive Internetwork Architecture
Reference architecture
Regency architecture
Regia (architecture)
Religious architecture in Belgrade
Renaissance architecture
Renaissance architecture in Central and Eastern Europe
Renaissance Revival architecture
Repoblacin art and architecture
Residential architecture in Historic Cairo
Residential architecture in Ibiza
Residential architecture in Poland
Resort architecture
Resource-oriented architecture
Reverse architecture
Revivalism (architecture)
REX (architecture firm)
RF resistance architecture
Riad (architecture)
RNL Architecture
Rock-cut architecture
Rococo architecture in Portugal
Romanesque architecture
Romanesque architecture in Sardinia
Romanesque Revival architecture
Romanesque Revival architecture in the United Kingdom
Romanian architecture
Rotunda (architecture)
Russian architecture
Russian church architecture
Russian Revival architecture
Rustic architecture
Rustication (architecture)
R. Wayne Estopinal College of Architecture and Planning
SAA Architecture
Sacral architecture
SAFA (architecture)
Sala (Thai architecture)
Sand Hills cottage architecture
Sankalpa (film-architecture)
Sasanian architecture
Scarsella (architecture)
Science DMZ Network Architecture
Scottish baronial architecture
Search-oriented architecture
Second Empire architecture in Europe
Secure Mobile Architecture
Seljuk architecture
Semantic architecture
Semantic service-oriented architecture
Serbo-Byzantine architecture
Serbo-Byzantine Revival architecture
Server Base System Architecture
Service Component Architecture
Service-oriented architecture
Service-oriented device architecture
Service-Oriented Localisation Architecture Solution
Service (systems architecture)
Setback (architecture)
Shah Jahan period architecture
Shard (database architecture)
Shared-nothing architecture
Shchusev Museum of Architecture
Shibboleth Single Sign-on architecture
Shingle style architecture
Shinto architecture
Shipping container architecture
Side passage plan architecture
Significant Alberta Architecture
Silesian architecture
Simple Modular Architecture Research Tool
Simulation Open Framework Architecture
Single- and double-pen architecture
Single-channel architecture
Sino-Portuguese architecture
Sir J. J. College of Architecture
Site-specific architecture
Skylake (microarchitecture)
Smart Mobility Architecture
Social information architecture
Socio-architecture
Sociology of architecture
Socle (architecture)
Software architecture
Software architecture analysis method
Software architecture description
Solution architecture
Somali architecture
Southern California Institute of Architecture
Soviet architecture
Spa architecture
Space architecture
Space-based architecture
Spaces: The Architecture of Paul Rudolph
Spanish architecture
Spanish Architecture Award
Spanish Baroque architecture
Spanish Colonial architecture
Spanish Colonial Revival architecture
Spanish Gothic architecture
Spanish Renaissance architecture
Spatiality (architecture)
Spaun (Semantic Pointer Architecture Unified Network)
Springer (architecture)
Spur (architecture)
Stalinist architecture
Standard Architecture for Universal Comment Extensions
Stoop (architecture)
Strategies Against Architecture
Strategies Against Architecture '80'83
Stripmall Architecture
Studio V Architecture
Subsumption architecture
Sudano-Sahelian architecture
Super Harvard Architecture Single-Chip Computer
Sustainable architecture
Swedish Centre for Architecture and Design
Swiss Architecture Museum
Symposium on Parallelism in Algorithms and Architectures
Synagogue architecture
System Architecture Evolution
Systems architecture
Systems Network Architecture
Taganrog Museum of Architecture and Urbanism
Tamayouz Excellence Award for Architecture
Taubman College of Architecture and Urban Planning
Temple architecture
Temple architecture (LDS Church)
TeraScale (microarchitecture)
Term (architecture)
Territorial Revival architecture
Tesla (microarchitecture)
Texas Instruments Graphics Architecture
Texas Tech University College of Architecture
Thai temple art and architecture
The 20th-Century Architecture of Frank Lloyd Wright
The Architecture Foundation
The Architecture of Doom
The Architecture of Happiness
The Architecture of the Arkansas Ozarks
The Five Orders of Architecture
The Institute of Classical Architecture and Art
The Journal of Architecture
The Open Group Architecture Framework
The Seven Lamps of Architecture
The Workshop for Non-Linear Architecture
Thomas Jefferson Medal in Architecture
Tibetan Buddhist architecture
Tidewater architecture
Timeline of architecture
Time-triggered architecture
Toward an Architecture
Toyota New Global Architecture
Traditional Chinese house architecture
Traditional Persian residential architecture
Transom (architecture)
Transport triggered architecture
Treasury Enterprise Architecture Framework
Treasury Information System Architecture Framework
Trellis (architecture)
Trumeau (architecture)
TU Delft Faculty of Architecture
Tudor architecture
Tudor Revival architecture
TUM Department of Architecture
Turing (microarchitecture)
Tympanum (architecture)
Typology (urban planning and architecture)
UCLouvain Faculty of Architecture, Architectural Engineering and Urban Planning
UIC College of Architecture, Design, and the Arts
Ukrainian architecture
UMA Acceleration Architecture
Unisys 2200 Series system architecture
University of Belgrade Faculty of Architecture
University of Cincinnati College of Design, Architecture, Art, and Planning
University of Montenegro Faculty of Architecture
University of Utah College of Architecture and Planning
Unix architecture
User:Neweco/Userboxen/VN architecture
User:UBX/Islamic architecture
Vainakh tower architecture
Vault (architecture)
Venetian Gothic architecture
Venice Biennale of Architecture
Ventilation (architecture)
Vernacular architecture
Vernacular Architecture Forum
Vernacular residential architecture of Western Sichuan
Vestibule (architecture)
Victorian architecture
Vijayanagara architecture
Virtual instrument software architecture
Visigothic art and architecture
Volta (microarchitecture)
Volvo Engine Architecture
Volvo Scalable Product Architecture platform
Von Neumann architecture
WAI Architecture Think Tank
Watergate (architecture)
Water table (architecture)
Web-oriented architecture
Western Chalukya architecture
Western false front architecture
Westmere (microarchitecture)
William R. Jenkins Architecture & Art Library
Women in architecture
Word (computer architecture)
World Architecture Festival
World Heritage Earthen Architecture Programme
WPA architecture
Xi'an University of Architecture and Technology
X Window System protocols and architecture
Z/Architecture
Zen (first generation microarchitecture)
Zen (microarchitecture)
Zoomorphic architecture
Zyscovich Architecture



convenience portal:
recent: Section Maps - index table - favorites
Savitri -- Savitri extended toc
Savitri Section Map -- 1 2 3 4 5 6 7 8 9 10 11 12
authors -- Crowley - Peterson - Borges - Wilber - Teresa - Aurobindo - Ramakrishna - Maharshi - Mother
places -- Garden - Inf. Art Gallery - Inf. Building - Inf. Library - Labyrinth - Library - School - Temple - Tower - Tower of MEM
powers -- Aspiration - Beauty - Concentration - Effort - Faith - Force - Grace - inspiration - Presence - Purity - Sincerity - surrender
difficulties -- cowardice - depres. - distract. - distress - dryness - evil - fear - forget - habits - impulse - incapacity - irritation - lost - mistakes - obscur. - problem - resist - sadness - self-deception - shame - sin - suffering
practices -- Lucid Dreaming - meditation - project - programming - Prayer - read Savitri - study
subjects -- CS - Cybernetics - Game Dev - Integral Theory - Integral Yoga - Kabbalah - Language - Philosophy - Poetry - Zen
6.01 books -- KC - ABA - Null - Savitri - SA O TAOC - SICP - The Gospel of SRK - TIC - The Library of Babel - TLD - TSOY - TTYODAS - TSZ - WOTM II
8 unsorted / add here -- Always - Everyday - Verbs


change css options:
change font "color":
change "background-color":
change "font-family":
change "padding":
change "table font size":
last updated: 2022-05-07 13:26:31
117752 site hits